Discussion Intel current and future Lakes & Rapids thread

Page 464 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

ondma

Platinum Member
Mar 18, 2018
2,720
1,280
136
On what? Test sleds? [small Logic + SRAM chips]. Actual products are the real measure. Intel 10nm CPUs have decent performance, but worse perf/watt. Luckily for Intel, AMD is well behind in wafer availability [from TSMC]. CPUs built on Intel's 7N will not have the wafer availability, and hence, the same advantage over AMD [on TSMC N5, looking at the Mizuho data] The bulk of Intel's product will still have to be on 10N ESF in 2023. There is no way to magically make up for the difference without reducing the effective xtor density and efficiency (less EUV layers) and making huge dies to claw back performance.
But is Intel's higher power usage on 10nm a function of process or core design? Or both, most likely.
 

bsp2020

Member
Dec 29, 2015
103
114
116
From a recent video on ASML I watched, about 6mo from delivery to full qualification (ready for HVM).
So, 6 month to set up. Producing chips takes about 6 month as well. ASML will need their time to manufacture the machines after Intel places the order. So, I expect that we will hear about Intel ramping up EUV machine purchase at least 2 years before they start shipping their 7nm products in volume.

Realistically, I don't expect to see Intel shipping their 7nm products in volume before 2024.

EDIT: If Mizuho's forecast of EUV machine shipment is to be believed, it's more like 2025/2026 at the earliest. Before then, Intel will be shipping mostly 10nm variant for mainstream market and some 7nm for high end like they did with 14nm/10nm in 2020/2021.
 
Last edited:

LightningZ71

Golden Member
Mar 10, 2017
1,627
1,898
136
Unless Intel does the same thing that AMD did with N7 and start by producing tiny CPU dies on packages that also have a 10ESF I/O dies to boost production availability.
 

lobz

Platinum Member
Feb 10, 2017
2,057
2,856
136
Sorry, I was out of range of internet since you posted this. I was trying to be non-combative by leaving AMD out of the discussion. But, if you only accept official slides, here are some direct from AMD itself:

"Glueless architecture to scale from one to four processors" slide 6
"Allows for “glueless” multiCPU designs" slide 9
"Scales to an 8-way without additional glue logic" slide 9

Does that count? AMD itself publically called Intel's dual core chips glued together for years. When Intel joked back in a leaked internal slide, suddenly Intel is in the wrong for using the term "glue".
By the same token, I'll offer you something too, that was not in my original post: I think both are childish.
 
  • Like
Reactions: spursindonesia

IntelUser2000

Elite Member
Oct 14, 2003
8,686
3,785
136
Intel's 7nm should be competitive as far as TSMC 3nm in one of it's variants. The first one is probably a bit behind. I'm talking power use, frequency and density, not just xtors/mm2. The density comparisons become irrelevant when designs are purposely made larger(likely to aim for high frequencies).*

Look how they shifted Tigerlake to focus more on high frequency. The voltage/power curve shown on HWUnboxed's TGL-H review shows that. So it's a compromise. You focus on lowering power by making tiny CPUs, you lose efficiency on the high end. If you focus on desktop-class chips and frequencies, you lose efficiency on the low end.

Intel did the opposite on 22nm, which is why their desktop chip sucked.

Also we don't know how behind their cores are.

*For example, both their Xe graphics and Atom-based cores show huge improvements in regards to just density. 2.7x gain in density going from 22nm Silvermont to 14nm Airmont, just like they said. 1.2mm for 14nm Goldmont, but 10nm Tremont is 30% smaller despite being 70% or so faster per clock! So there's likely a greater than 2x density gain there.
 
  • Like
Reactions: spursindonesia

Ajay

Lifer
Jan 8, 2001
15,429
7,847
136
Intel's 7nm should be competitive as far as TSMC 3nm in one of it's variants. The first one is probably a bit behind. I'm talking power use, frequency and density, not just xtors/mm2. The density comparisons become irrelevant when designs are purposely made larger(likely to aim for high frequencies).*
You have shown no evidence, or even a credible rumor, that this is the case. You analogy (*) isn't useful in this case.
 
  • Like
Reactions: Tlh97

IntelUser2000

Elite Member
Oct 14, 2003
8,686
3,785
136
End 2021 - Alderlake
End 2022 to Early 2023 - Raptor Lake
End 2023 to Early 2024 - Meteor Lake

If we consider the above, then low volumes on 7nm for 2023 doesn't seem that surprising to me. The delay in this case is we should have seen Raptor Lake on 7nm.

You have shown no evidence, or even a credible rumor, that this is the case. You analogy (*) isn't useful in this case.

Why do you think it's based on nothing?

Intel's 10nm is a huge jump from their 14nm, akin to full node in the better days. And their 14nm is a huge jump from their 22nm.

We know TSMC 20nm brought huge density gains but little power/clock speed gains. It was 14nm that brought the latter, but little of the former. That's why most skipped 20nm. Same with TSMC 10nm.

They changed their naming to sound like the good old days, but the specs are not. Yes, they are more than half nodes - which is why you'll see me calling them "0.6 nodes".

And they want to do that again with 7nm. Of course I think doing that in the initial iteration is too much which is why I think the first gen will be behind.
 

Abwx

Lifer
Apr 2, 2011
10,937
3,439
136
Intel's 7nm should be competitive as far as TSMC 3nm in one of it's variants. The first one is probably a bit behind. I'm talking power use, frequency and density, not just xtors/mm2.

So far their 10nm is equivalent to TSMC s 7nm, so there s no way their 7nm will be like TSMC s 3nm, methink you made the confusion with TSMC s 5nm..

As for efficency seems to me that Intel s 10nm is in the same ballpark as TSMC s 7nm.
 
  • Like
Reactions: Gideon and Tlh97

Ajay

Lifer
Jan 8, 2001
15,429
7,847
136
Why do you think it's based on nothing?

Intel's 10nm is a huge jump from their 14nm, akin to full node in the better days. And their 14nm is a huge jump from their 22nm.

We know TSMC 20nm brought huge density gains but little power/clock speed gains. It was 14nm that brought the latter, but little of the former. That's why most skipped 20nm. Same with TSMC 10nm.

They changed their naming to sound like the good old days, but the specs are not. Yes, they are more than half nodes - which is why you'll see me calling them "0.6 nodes".

And they want to do that again with 7nm. Of course I think doing that in the initial iteration is too much which is why I think the first gen will be behind.
Because, Intel's past successes have nothing to do with the present or future success in process technology. Intel 10nm blew all of that up. Now, we have no way of predicting where Intel 7N is going to land. Beyond that, AMD has the most performance (in general) and the best perf/watt right now, so, assuming Intel 7 EUV isn't a screw up, it's up to the architects and designers to bring up better cores. It's just not looking good for Intel right now. IF Intel as begun to correct, when will they meet parity with AMD/TSMC - 5 years, 10 years? There is just no way to know.
 

Thala

Golden Member
Nov 12, 2014
1,355
653
136
Intel's 7nm should be competitive as far as TSMC 3nm in one of it's variants. The first one is probably a bit behind. I'm talking power use, frequency and density, not just xtors/mm2. The density comparisons become irrelevant when designs are purposely made larger(likely to aim for high frequencies).*

Evidence? Just dreaming?

ntel's 10nm is a huge jump from their 14nm, akin to full node in the better days. And their 14nm is a huge jump from their 22nm.

How does the jump from 14nm to 10nm remotely matter in order to support your claim?

And they want to do that again with 7nm. Of course I think doing that in the initial iteration is too much which is why I think the first gen will be behind.

Yes, the first gen of Intel 7nm will be behind, but behind N5(++) - which will be in mass production for almost 3 years by the time Intel enters mass production for 7nm. Not sure, why you even dare to bring N3 into the discussion...
 
Last edited:
  • Like
Reactions: Tlh97

jpiniero

Lifer
Oct 1, 2010
14,583
5,204
136
Yes, the first gen of Intel 7nm will be behind, but behind N5(++) - which will be in mass production for almost 3 years by the time Intel enters mass production for 7nm. Not sure, why you even dare to bring N3 into the discussion...

It should be dcently denser than TSMC 5 nm at least. That's assuming it wasn't gutted.
 

Thala

Golden Member
Nov 12, 2014
1,355
653
136
It should be dcently denser than TSMC 5 nm at least. That's assuming it wasn't gutted.

Yes it is projected to be a somewhat denser but not remotely as dense as N3. But it was not the density i was talking about specifically :)
It took Intel few iterations until 10nm eSF to be somewhat competitive with N7 - despite it was competitive with respect to density from the start.
This is my whole point, when Intel goes into mass production with its first iteration of 7nm, TSMC is already in its 3rd year of iterations of N5. Intel 10nm gave us an excellent example of how bad the first few iterations of a process can be.
 
Last edited:

NostaSeronx

Diamond Member
Sep 18, 2011
3,686
1,221
136
There is also JFIL, btw. The current tool has been demonstrated with ~110 wafer per hour with ~0.07 defects per cm squared. Toshiba(now Kioxia) and Intel are the only ones listed to get JFIL machines.

2017 ITRS 7nm/5nm are definitely supported with 3nm half-way supported, however ~0.07 is not ~0.01.
2017 ITRS 7nm => 48CPP/28MPP & 5nm => 42CPP/24MPP & 3nm => 36CPP/21MPP
 
Last edited:

DrMrLordX

Lifer
Apr 27, 2000
21,617
10,824
136
Unless Intel does the same thing that AMD did with N7 and start by producing tiny CPU dies on packages that also have a 10ESF I/O dies to boost production availability.

Intel is not going to have enough 7nm volume to replicate what AMD did with Zen2. Take a look at a Rome die to see what I mean.
 
  • Like
Reactions: spursindonesia

eek2121

Platinum Member
Aug 2, 2005
2,930
4,025
136
So far their 10nm is equivalent to TSMC s 7nm, so there s no way their 7nm will be like TSMC s 3nm, methink you made the confusion with TSMC s 5nm..

As for efficency seems to me that Intel s 10nm is in the same ballpark as TSMC s 7nm.
Evidence? Just dreaming?



How does the jump from 14nm to 10nm remotely matter in order to support your claim?



Yes, the first gen of Intel 7nm will be behind, but behind N5(++) - which will be in mass production for almost 3 years by the time Intel enters mass production for 7nm. Not sure, why you even dare to bring N3 into the discussion...

Intel has stated a greater than 2X improvement in density vs. 10nm. We will see.
 

LightningZ71

Golden Member
Mar 10, 2017
1,627
1,898
136
Intel is not going to have enough 7nm volume to replicate what AMD did with Zen2. Take a look at a Rome die to see what I mean.

I don't really expect Intel to be pushing 7nm volume in servers by then, except for a likely gold plated SKU series for customers with no budget limits. With Ice Lake-S out now on 10+, there's still room for server products on 10sf and then 10esf before they even get to 7nm nodes. Also, with the flexibility that using chiplets on interposer designs allows, they can have a processor line that has lower core count SKUs using larger 10esf CCDs and higher core count SKUs using 7nm CCDs. Yes, I am aware that that's a lot of extra engineering for the package and interposer, but, it helps them get the most from both nodes. By all available indications, Intel is going to be quite volume limited on 7nm for the first few years of it's existence. They would be advised to make every CCD count by restricting them to their highest end parts, so, high core count server products, high end HEDT chips, and, if volume allows, their enthusiast chips in the general market. They have established precedent that they are willing to have significantly different products on offer on the core line with Rocket Lake covering i5/i7/i9 and Comet Lake Refresh (re-label) for the i3 and below, so there is precedent.
 

repoman27

Senior member
Dec 17, 2018
342
488
136
A few things to consider when looking at those numbers from Mizuho:

ASML shipped 10 NXE:3400B machines in 2017 that aren't included in the Mizuho figures. I'm not sure who they went to, but it is highly likely that Intel received at least a couple of them.

TSMC is a lot bigger than Intel. If you look at total equivalent wafer capacity, they're three times the size of Intel. The Mizuho report is only looking at logic devices on advanced process nodes / 300 mm wafers and attempts to carve out memory, analog, and legacy nodes from the totals. This makes a certain amount of sense in the context of EUV, but some of those machines will be used for memory production. Meanwhile, Intel recently sold their only fab dedicated to memory to SK hynix.

The total addressable market for PC and x86 server CPUs (what this thread is primarily concerned with) is relatively small, and Intel pretty much never uses their most advanced process for products like chipsets and adjacencies. By my calculation, based on Tiger Lake-U/H and Ice Lake-SP die sizes, Intel only needs around 85 kwpm (60 for client and 25 for data center) on their leading process to satisfy those markets (roughly 220M client and 22M server CPUs). Of course Intel has also lost Apple, the 4th largest PC manufacturer and their sole former modem customer, and continues to cede market share to a highly competitive AMD.

Given Intel's density targets for 7nm, and their desire to put SAQP in the rearview, they will probably need EUV for at least 14 layers. That means 28 machines would be required to produce 100% of their CPUs on 7nm. They won't have that by 2023, but Meteor Lake is also a lead product tentatively scheduled for the second half of 2023, by which time they will have > 15 machines up and running.

In other words, I don't see any problem here. It looks like Intel is securing exactly what they need as far as EUV goes. Of course that doesn't account for 7nm capacity required for discrete GPUs, but if the total quantity shipped ever amounts to more than risk production, Intel will probably use TSMC to manufacture them anyway.
 
  • Like
Reactions: Vattila and Elfear

jpiniero

Lifer
Oct 1, 2010
14,583
5,204
136
Also, with the flexibility that using chiplets on interposer designs allows, they can have a processor line that has lower core count SKUs using larger 10esf CCDs and higher core count SKUs using 7nm CCDs.

It's more likely that if they were going to dual source Granite Rapids, it would be some TSMC node than 10esf. It doesn't seem like that's going to happen. Instead they will just keep Ice Lake Server but I suppose it is possible it will get respun to 10esf.
 

repoman27

Senior member
Dec 17, 2018
342
488
136
Intel 7nm will almost certainly leapfrog TSMC N5 but fall short of N3. We can expect the first volume products on TSMC N3 to be announced in Sep or Oct 2022, and Intel to launch Meteor Lake somewhere in the Aug 2023 - Apr 2024 range, so roughly a year later.

Even if yields are within reason at this point, the heavy use of multi-patterning for Intel 10nm most likely results in brutal cycle times which would make it a perpetually low-margin node. I would imagine Intel wants to move all of their 10nm products to 7nm ASAP while continuing to flog good ole' 14nm for all it's worth. 10nm will probably live on at Fab 28 and Fab 42 in some capacity though, while D1X (including the new Mod 3) and Fab 34 (or whatever they're calling the new one in Leixlip) will be the first on 7nm. Kiryat Gat will probably get EUV equipment to fit out their allegedly underway $11B expansion, followed by Chandler, but those projects are still at least a few years out (as in there's no way they would be ready for HVM prior to 2024).
 

jpiniero

Lifer
Oct 1, 2010
14,583
5,204
136
I would imagine Intel wants to move all of their 10nm products to 7nm ASAP while continuing to flog good ole' 14nm for all it's worth.

I can't imagine they have that much faith in 7 nm. I think we are at the point where if they weren't confident in fully fixing 10 nm eventually they would be going hard (if not 100%) to TSMC.
 

maddie

Diamond Member
Jul 18, 2010
4,738
4,667
136
I can't imagine they have that much faith in 7 nm. I think we are at the point where if they weren't confident in fully fixing 10 nm eventually they would be going hard (if not 100%) to TSMC.
This brings up the old argument that Intel is going to be a radically different company in a few years vs those believing that it's only a matter of time when they have a resurgence to their former dominance.

In a previous post, I had this.
2023 production for nodes using EUV
TSMC = 370 Kwpm
Intel = 20 Kwpm


This is 5.4% of TSMC, so for AMD to equal Intel in production capacity, all they have to do is reserve an equal amount of TSMC EUV production. I believe it will be higher than that based on the current relationship, which leaves us with the conclusion that either Intel loses a lot of marketshare, or as you suggest, they go all in on TSMC for their advanced node products. Then, we can have a closer race.

In either case, Intel as it exists today, is no more.
 

Ajay

Lifer
Jan 8, 2001
15,429
7,847
136
This brings up the old argument that Intel is going to be a radically different company in a few years vs those believing that it's only a matter of time when they have a resurgence to their former dominance.
The only way Intel returns to dominance, or something like that, is to become a very different company than they are now.
 
  • Like
Reactions: Tlh97 and Saylick