Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel)

Page 107 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,608
5,816
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.

1587737990547.png
N7 performance is more or less understood.
1587739093721.png

This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.


1587739615344.png

Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

SiliconFly

Golden Member
Mar 10, 2023
1,047
536
96
Not really. Starting next year, 18A is taking the lead, followed by 14A and then 10A very soon without giving much breathing space for TSMC to catch up. Intel being a relatively smaller foundry, can iterate faster.

Pat has clearly stated in no uncertain terms that 18A is far superior compared to the upcoming N2. Meaning, 18A is slightly ahead of N2 both in performance and efficiency (and cost too). Comparing 18A with older nodes like N3 or N5 or N7 is just plain meaningless. It's like comparing TSMC N3 vs Intel 7 and saying Intel 7 is better. Not exactly right.


N2 & 18A are comparable nodes. 18A is launching next year with 2nd gen BSPD & GAAFET. An incomplete version of N2 is launching at the same time with no BSPD and just 1st gen GAAFET. Full N2 with BSPD only in 2026. And six months after the launch of full N2, 14A with *High-NA* is coming out with guns blazing.

Once 18A is out, TSMC won't have much space for process leadership anymore. Intel may stay on of the leading edge for the foreseeable future.

(Note: My sincere apologies to others for being so dramatic. But sometimes it's necessary to ward off misinformation.)
 
Last edited:

maddie

Diamond Member
Jul 18, 2010
4,747
4,691
136
Not really. Starting next year, 18A is taking the lead, followed by 14A and then 10A very soon without giving much breathing space for TSMC to catch up. Intel being a relatively smaller foundry, can iterate faster.

Pat has clearly stated in no uncertain terms that 18A is far superior compared to the upcoming N2. Meaning, 18A is slightly ahead of N2 both in performance and efficiency (and cost too). Comparing 18A with older nodes like N3 or N5 or N7 is just plain meaningless. It's like comparing TSMC N3 vs Intel 7 and saying Intel 7 is better. Not exactly right.


N2 & 18A are comparable nodes. 18A is launching next year with 2nd gen BSPD & GAAFET. An incomplete version of N2 is launching at the same time with no BSPD and just 1st gen GAAFET. Full N2 with BSPD only in 2026. And six months after the launch of full N2, 14A with *High-NA* is coming out with guns blazing.

Once 18A is out, TSMC won't have much space for process leadership anymore. Intel may stay on of the leading edge for the foreseeable future.

(Note: My sincere apologies to others for being so dramatic. But sometimes it's necessary to ward off misinformation.)
Man, you do write some strange posts. You do know that Intel has been a lot smaller foundry for many years now? Right?

Concerning that final italic line. Sarcasm?
 

clemsyn

Senior member
Aug 21, 2005
531
197
116
And this


Great discovery! Intel just can't catch a break.
 

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,274
106
Last edited:
  • Like
Reactions: mikk

trivik12

Senior member
Jan 26, 2006
260
217
116
One interesting news is Intel said 30% of their Chips use TSMC and they plan on getting it down to 20%. No timelines mentioned. I thought in near term that % will go up due to ARL/LNL.
 

poke01

Senior member
Mar 8, 2022
741
725
106
A 7.5 magnitude earthquake has just struck Taiwan.

Do you guys reckon the foundries are safe?

So far good. It live update later, so check it again for new info
 

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,274
106
Semianalysis drops a bombshell!


Another bombshell from Ian Cutress:


I am still reading the articles. I will edit this and post a summary when I am done.
Hey is nobody talking about these two articles?

(Sorry, I couldn't write the summary yet).
 

SiliconFly

Golden Member
Mar 10, 2023
1,047
536
96
Here's something for people who are arguing about Intel Foundry's competitiveness.

A chart from Intel themselves', which envisions how they compare to the competition with each node;


-- very behind
- behind
= equal
+= equal or better
+ better
++ very better
Perfectly inline with what they've been saying all along. Process leadership in 2025.