Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel)

Page 108 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,620
5,880
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.

1587737990547.png
N7 performance is more or less understood.
1587739093721.png

This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.


1587739615344.png

Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

Gideon

Golden Member
Nov 27, 2007
1,660
3,762
136
Hey is nobody talking about these two articles?

(Sorry, I couldn't write the summary yet).

Some quotes from the first one, particularly to @SiliconFly to whom all that matters is to get "a node" out that beats TSMC's best in absolute performance / watt at some point (for HPC chips only). Manufacturability (chiplet size) Volume, Cost are all irellevant.

1. Intel only expects 14A to actually be competitive for mobile designs. 18A is HPC only (confirmed by the slide):
Intel claims that Intel 3 brings parity with performance per watt, but still leaves them behind the industry. They believe with 18A, they are ahead on performance per watt, and at parity elsewhere. At 14A in ~2027, Intel believes they will have an advantage on density and wafer costs as well. They believe it will even let them win mobile designs, not just high performance. We would push back somewhat on some of these claims based on N2 and N2P modeling.

2. Regarding volume and manufacturability:
Intel claims that their Intel 4 process node has been “manufacturing ready” since December 6th 2022. It is now Q2 of 2024 and the only released product is Meteor Lake which has a compute tile that is ~70mm2. The Intel 4 component is smaller than most smartphone chips. Most the other tiles are from TSMC or on older nodes.

Furthermore, Meteor Lake has had paltry volumes due to its high-cost structure. We estimate COGS of more than 2x that of the Raptor Lake (Intel 7 monolithic) to fabricate. We estimate Meteor Lake has shipped in less than 10 million devices. That means even with poor yield assumptions, the total wafers run for Meteor Lake products in the market are less than 20,000 wafers for a whole year of production. For reference compare this to Apple’s monthly commercial shipping capacity of 45,000+ wafers on 5nm and 3nm today. Not as inspiring as Intel’s words.

Intel’s charts like the below from their foundry day also show that they have no plans of ramping Intel 4 production meaningfully. Intel 18A is only significant wafer volume in 2026.

How bad is 20 000 wafers a year?
Intel says they needs $25B to $30B of capex per 10,000 wafers per week for their new fabs. TSMC has stated they requires about $42B of capex per 10,000 wafers for their 3nm in Arizona even after the “cost overruns”. We are unsure what the delta is for Intel versus TSMC on these numbers as the TSMC figures include site prep, shell, and tooling. Regardless, taking Intel’s numbers at face value, this is a huge wall given Intel always needs 150,000+ wafers per month of capacity on the leading edge to remain competitive in volumes with TSMC.

They do not have this today, but they need it or the business is unsustainable and dead. Today they cannot afford to build these fabs with their own cashflow. Let’s talk about how TSMC can do it sustainably and what Intel needs to do to replicate their success.

About profitability it's best to read the entire part, starting from "Cashflow Engine" to" Capital Requirements".

https%3A%2F%2Fsubstack-post-media.s3.amazonaws.com%2Fpublic%2Fimages%2Fa6305d2c-75d7-46eb-ad63-f21627b0dcfc_549x469.png

While Intel has ~$120B of capital to tap, to get to our 150,000+ wafers a month sustainable target, they may need more than that. We are not sure where they can get this cash from. The process technology we believe is there. We aren’t sure who is willing to front the rest of these costs, as further financing would likely be a poison pill.

Unfortunately the N2 and N2P modelling is behind paywall.

I 'm rooting for Intel to succeed with their Foundry efforts. Having a competitor to TSMC (particularily one that has fabs in the west) is a necessity and in the end it's the customers that win. But framing Intel as an unstoppable win-machine that can do no wrong - all they need to do is to produce "something in some volume" with their 18A process and it's "game over" for TSMC, is "perhaps a tad too simplistic"
 

Tigerick

Senior member
Apr 1, 2022
677
559
106
Some quotes from the first one, particularly to @SiliconFly to whom all that matters is to get "a node" out that beats TSMC's best in absolute performance / watt at some point (for HPC chips only). Manufacturability (chiplet size) Volume, Cost are all irellevant.

1. Intel only expects 14A to actually be competitive for mobile designs. 18A is HPC only (confirmed by the slide):


2. Regarding volume and manufacturability:


How bad is 20 000 wafers a year?


About profitability it's best to read the entire part, starting from "Cashflow Engine" to" Capital Requirements".



Unfortunately the N2 and N2P modelling is behind paywall.

I 'm rooting for Intel to succeed with their Foundry efforts. Having a competitor to TSMC (particularily one that has fabs in the west) is a necessity and in the end it's the customers that win. But framing Intel as an unstoppable win-machine that can do no wrong - all they need to do is to produce "something in some volume" with their 18A process and it's "game over" for TSMC, is "perhaps a tad too simplistic"
Yeah, we all hope for IFS success, but I can't stand Pat's pep-talk about leadership because he knows IFS is not capable of competing against TSMC in PPA. And people need to realize IFS's goal:

No.2-2030.png

Based on revenue figures of IFS in 2023 ($18.9 billions), IFS should overtake SF already which has earned $16.77 billions in 2023. The reason behind is Intel going to order N3B wafers from TSMC this year. There is report about Intel going to spend $4 billions this year and $10 billions next year for N3B wafers. That's mean this year IFS's revenue should drop sequentially...

And Pat know it, that's why he set No.2 foundry in 2030 not earlier...
 

moinmoin

Diamond Member
Jun 1, 2017
4,963
7,701
136
Who cares about their foundry losses. That's just bookkeeping. This only needs to start balancing out once they start to really make stuff for external customers.
They still need to get to this point though which is not a given. Only then prior losses are mere bookkeeping and not fatal wounds.
 
  • Like
Reactions: Exist50

Aapje

Golden Member
Mar 21, 2022
1,411
1,919
106
They still need to get to this point though which is not a given. Only then prior losses are mere bookkeeping and not fatal wounds.

They are still making a profit overall. And their plan doesn't require growth, but 'merely' executing well in the future.

But I guess that the real test is whether they will manage to actually be on par with products made at TSMC in 2026.
 

DrMrLordX

Lifer
Apr 27, 2000
21,678
10,940
136
Who cares about their foundry losses. That's just bookkeeping. This only needs to start balancing out once they start to really make stuff for external customers.

The street does since INTC already went down over 4% on the news. But let's sit on this info for now and view it in context of the rest of Intel's quarterly results coming out later this month, shall we?
 

moinmoin

Diamond Member
Jun 1, 2017
4,963
7,701
136
They are still making a profit overall.
Intel Foundry is not making a profit overall. With the Intel Foundry/Intel Products split it becomes more important that both are independently profitable. What @Tigerick posted above would be a death knell for any independent company, and Intel wants Intel Foundry to be seen as practically independent.
 
Jul 27, 2020
16,601
10,597
106
They can still turn things around! Revive Pentium 4. Intel 7 should easily let it get up to 10 GHz. Sell that as P4 Anniversary Edition. People will trip over themselves to own the world's first 10 GHz CPU. And then programmers will have to learn how to make the best of it since performance will obviously be lower than Raptor Lake. People will wake up every day with the hope that today is the day that their favorite software's P4 optimized binary will be released that will beat the previous binary. And people will shun AMD coz it can't do 10 GHz. So what if it's faster? It's still not 10 GHz, bro!
 

mikk

Diamond Member
May 15, 2012
4,151
2,164
136
18A goes into volume in 2025, however the volume and impact P&L will be 2026. The bulk of wafers in 2025 are Intel 3. Good amounts of Intel 3 in 2025. 18A wafers in 2026. No change in schedule. Ramping Panther Lake, CWF. Everything is looking good!


Maybe Panther Lake won't come before CES 2026.
 

Aapje

Golden Member
Mar 21, 2022
1,411
1,919
106
Intel Foundry is not making a profit overall. With the Intel Foundry/Intel Products split it becomes more important that both are independently profitable. What @Tigerick posted above would be a death knell for any independent company, and Intel wants Intel Foundry to be seen as practically independent.

They are working towards this and Pat clearly explained that this will take some time. The current split allows us to see whether they are making progress towards the goal.

I honestly think that it's a little ridiculous to now be 'the sky is falling' just because Intel is being transparent about where they stand instead of hiding it.

And I've seen people argue that Intel is on the brink of death if they don't turn things around soon, but the very fact that they are still making a profit shows that things are not that bad.
 
Last edited:

H433x0n

Senior member
Mar 15, 2023
903
976
96
Here's something for people who are arguing about Intel Foundry's competitiveness.

A chart from Intel themselves', which envisions how they compare to the competition with each node;


-- very behind
- behind
= equal
+= equal or better
+ better
++ very better
I mean, by 18A they’re at parity or ahead. How is that not competitive?

Their issue isn’t necessarily the silicon, it’s the costs and volume.
 
  • Like
Reactions: SiliconFly

desrever

Member
Nov 6, 2021
110
267
106
I mean, by 18A they’re at parity or ahead. How is that not competitive?

Their issue isn’t necessarily the silicon, it’s the costs and volume.
And if 18A actually gets volume in time to compete with w/e they are comparing there, probably N2. Intel hasn't kept on "schedule" for the last 5 nodes. They tried to compare Intel4 to N5 before, this is probably going to play out the same. N5 hit volume years ago while Intel4 is not here yet.
 

Aapje

Golden Member
Mar 21, 2022
1,411
1,919
106
And if 18A actually gets volume in time to compete with w/e they are comparing there, probably N2. Intel hasn't kept on "schedule" for the last 5 nodes. They tried to compare Intel4 to N5 before, this is probably going to play out the same. N5 hit volume years ago while Intel4 is not here yet.

Their entire 5 nodes in 4 years promise is creative accounting as well, since they count volume production for that first node, while for the last node they count when the node has been validated, not when it is actually mass produced.

But then again, all companies lie, even the succesful ones like TSMC and Nvidia. TSMC also delivers late and their nodes are not as good as promised.

Ultimately, the proof of the pudding is in them getting Intel-produced silicon in stores or in servers that is competitive or beats the competition.
 
  • Like
Reactions: adamge

H433x0n

Senior member
Mar 15, 2023
903
976
96
And if 18A actually gets volume in time to compete with w/e they are comparing there, probably N2. Intel hasn't kept on "schedule" for the last 5 nodes. They tried to compare Intel4 to N5 before, this is probably going to play out the same. N5 hit volume years ago while Intel4 is not here yet.
What do you mean Intel 4 isn’t here yet? You can buy MTL now. Both GNR & SRF samples have been sent to customers.

You can say 18A so far has just been talk, but the Intel 4/3 node has silicon in the market and is launching more products this year.
 

desrever

Member
Nov 6, 2021
110
267
106
What do you mean Intel 4 isn’t here yet? You can buy MTL now. Both GNR & SRF samples have been sent to customers.

You can say 18A so far has just been talk, but the Intel 4/3 node has silicon in the market and is launching more products this year.
MTL barely counts as volume at this point. The number of wafers they must be processing is pathetic.
 

SiliconFly

Golden Member
Mar 10, 2023
1,056
541
96
Perfectly inline with what they've been saying all along. Process leadership in 2025.
2027/2028
I think we're talking about different things. I'm not talking about volume when I say process leadership. Volume is gonna be 2026/2027. It takes time to scale. But process leadership is very different. The most advanced node that'll be in manufacturing in late 2025 is going to be 18A. Not N2.

TSMC messed up their first iteration of 3nm with their N3B. Now they're moving en masse to N3E which will hit volume only late next year. They messed up cos N3B is the 1st iteration and Fabs are difficult.

And their N2 was originally a 2026 node with both GAAFETs & BSPD. Now they've pulled-in N2 to 2025 without BSPD (cos they couldn't get BSPD working on time). And I don't think we should assume their 1st iteration of N2 (with 1st gen GAAFETs & no BSPD) is going to be glorious. Fabs are difficult and 1st iteration is even more difficult.

On the other hand, 18A will have second gen GAAFETs & BSPD when it goes into production late next year giving them a clear upper hand.

In short, Intel Process Leadership in 2025 is now pretty much inevitable.