Question Intel Q2: 7 nm in bad shape

Page 6 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

jpiniero

Lifer
Oct 1, 2010
14,582
5,204
136

Here's the money shot:

The company's 7nm-based CPU product timing is shifting approximately six months relative to prior expectations.
The primary driver is the yield of Intel's 7nm process, which based on recent data, is now trending approximately
twelve months behind the company's internal target.

Data Center volume up 29% (plus 5% ASP)
Notebook up 9%
Desktop down 14% - not as bad as I had thought

Q3 guidance bad - revenue of 18.2b vs 19.2b in 2019
 
Last edited:

A///

Diamond Member
Feb 24, 2017
4,352
3,154
136
Why are always the future years the critical time? Intel should have seen the danger coming for years now. Intel should have enough self-awareness to notice that since 22nm their node progress is increasingly stuttering. Intel should have been preparing for AMD's rising competitiveness since when Zen was shown to be pretty competitive back in 2017, when Zen+ narrowed the gap some more back in 2018, and when Zen 2 essentially matched or even surpassed Intel in all relevant areas last year. Until now Intel was in the position of unbelievable financial strength, with a string of quarters with record revenue and income. Now is the time Intel needs to show how they used that position of strength for preparing itself in all those years of the ongoing slow motion car crash which was always in the open for everybody to see.

If Intel thinks it has to react now that's frankly a little late.
This would require Intel to be self-aware of what's going on. Older people who've been following both companies will be painfully aware of just how late Intel is to a challenge each time one has come up.
 

beginner99

Diamond Member
Jun 2, 2009
5,210
1,580
136
If Murthy really is hot to wipe out Raja, he's making a huge mistake.

Raja might just be a trouble maker distract from the real work. Plus he certainly has power desires, eg. the RTG thing was certainly idea. Just look how since he left AMD suddenly seems to be able to produce usable GPUs again.

It makes sense because STEM salaries have stagnated since the 1990s after the H1B program was enacted, it's probably more lucrative to be a plumber these days lol.

Your probably right if you factor in the 10 year longer education (with PhD) and student debt. In fact going "handyman" is probably a smart choice nowadays. We will need plumber, electricians, painters, roofers, floorers,... also in the future and these jobs can't be off-shored as local presence is required.
 

Carfax83

Diamond Member
Nov 1, 2010
6,841
1,536
136
As a non industry type, can someone help me to understand this. Are Intel's process node problems due to lack of funding or proper investment in updating their fabs? I just don't see how this problem can persist for so long. Or is it really that difficult to fabricate CPUs on smaller nodes? How is it that TSMC can keep up with manufacturing demands while Intel keeps falling behind for years now at this point?

It's shocking and dismaying that they are now having problems with 7nm :(
 

Qwertilot

Golden Member
Nov 28, 2013
1,604
257
126
What they’re doing/trying to do at the moment is amazingly, mind bogglingly, difficult.

It was amazing enough when they were dealing with much larger sizes, doing it with feature sizes so small that quantum effects are starting to kick back very, very hard is doubly so.

The question is probably better phrased cf ‘why are TSMC managing to do so well?’.
 

Kuiva maa

Member
May 1, 2014
181
232
116
TSMC's strategy of small changes more often seems to be working for them. Even Samsung, who embraced EUV before TSMC did, can't get it right.

TSMC is a pure foundry and their whole business model revolves around getting to market quickly and reliably. As long as they can reliably dish out incremental improvements in their process and maintain Apple as customer, they are in a very good spot. I suppose getting very risky is not the best approach in their case.
 

maddie

Diamond Member
Jul 18, 2010
4,738
4,667
136
As a non industry type, can someone help me to understand this. Are Intel's process node problems due to lack of funding or proper investment in updating their fabs? I just don't see how this problem can persist for so long. Or is it really that difficult to fabricate CPUs on smaller nodes? How is it that TSMC can keep up with manufacturing demands while Intel keeps falling behind for years now at this point?

It's shocking and dismaying that they are now having problems with 7nm :(
As much as is possible with one word, cultural. All cultures evolve and Intel has ended up with a failing one. The 'why' is the big question with the 'how' next. I guess we have a couple years of forum threads here.
 

DrMrLordX

Lifer
Apr 27, 2000
21,617
10,824
136
Raja might just be a trouble maker distract from the real work. Plus he certainly has power desires, eg. the RTG thing was certainly idea. Just look how since he left AMD suddenly seems to be able to produce usable GPUs again.

When at AMD, I might have agreed with you. At Intel, I do not think he is the most problematic of the bunch. They also gave him whatever power he has fairly recently, so whatever he does with it now is Intel's own fault.

The question is probably better phrased cf ‘why are TSMC managing to do so well?’.

That is the multi-billion dollar question, isn't it? Semiconductor fabrication has gotten so complicated that not even massive expenditures can get it right. You either steal someone else's node or you just fail trying. Or you're TSMC.
 
  • Like
Reactions: Tlh97

maddie

Diamond Member
Jul 18, 2010
4,738
4,667
136
When at AMD, I might have agreed with you. At Intel, I do not think he is the most problematic of the bunch. They also gave him whatever power he has fairly recently, so whatever he does with it now is Intel's own fault.



That is the multi-billion dollar question, isn't it? Semiconductor fabrication has gotten so complicated that not even massive expenditures can get it right. You either steal someone else's node or you just fail trying. Or you're TSMC.
I think I'm remembering this correctly.

Years ago, when Texas Instruments exited the semiconductor R&D stage, the prediction was made that Intel would go on to dominate the world in fab tech as most other fabs were reliant on their (TI) work for the latest tech. AMD (not GloFlo yet), TSMC, etc. I think this might have been around the 65nm era.

Anyhow, Intel surged ahead around that time. AMD sold their fabs and TSMC was the one that countered best. A large focused R&D effort was started and we're seeing the results now. I thought I saw the 1st results around the 28nm node and it has further developed since.

Anyone, please correct me if this the memory of a dream. :)
 
  • Like
Reactions: Tlh97

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
Golden is complete and Ocean might be now (maybe, I don't really know there, I've only ever briefly heard about Golden), but aside from those two no other main Core's are actually complete. There's still a lot of development work to be done, and if Intel's roadmap is as delayed as it seems to be, they need all the help they can get on the uArch after Ocean.

Even if Golden Cove's uarch is nominally finished, there would still be plenty of debugging to get all of the features actually functional. It's unlikely they're a year+ ahead of schedule there.
 

lobz

Platinum Member
Feb 10, 2017
2,057
2,856
136
Even if Golden Cove's uarch is nominally finished, there would still be plenty of debugging to get all of the features actually functional. It's unlikely they're a year+ ahead of schedule there.
You can also bet they originally planned / wanted it for 7nm.
 

name99

Senior member
Sep 11, 2010
404
303
136
As a non industry type, can someone help me to understand this. Are Intel's process node problems due to lack of funding or proper investment in updating their fabs? I just don't see how this problem can persist for so long. Or is it really that difficult to fabricate CPUs on smaller nodes? How is it that TSMC can keep up with manufacturing demands while Intel keeps falling behind for years now at this point?

It's shocking and dismaying that they are now having problems with 7nm :(

Why is this so hard to understand? It's the story of American business.
Here's how American businesses have run since about WW2:
- business is founded by an engineer, takes risks, does great things.

- founder dies, second gen manager is adequate but feels insecure as both an engineer and on the finance side

- third gen manager takes over. 3rd gen manager is an MBA finance type. Thinks what the engineers do is trivial. Thinks R&D is a cost center that needs to be controlled. Thinks the world doesn't change, and that reality is whatever you want it to be. Company goes off the rails.

IBM. Boeing. Microsoft. Xerox. Now Intel. Same pattern.
It's not absolutely inevitable. Sometimes you get a new manager who appreciates that technology doesn't just happen -- Microsoft under Satya. New AMD. But it is the most common pattern.

As always, Steve understood this years ago:

Before you get angry with my analysis, ask yourself why exactly DID 10nm go of the rails. Why, specifically, was it not based on EUV? Because EUV was expected to cost too much.
And there you have the finance mindset in its full glory. Prioritize cost over everything. Ignore risk. Ignore the benefits of starting a new tech early (learning, first access to those ASML machines). Ignore that competitors will not stand still. Ignore that new tech means new markets opening up.
(eg, where are Intels' MobileEye and Habana going to be fabbed? Chip types that weren't even dreamed up back when the initial 10nm decisions were made, because the people making those decisions were not engineers, and did not consider it important to understand that new technologies open up new market possibilities.)
 

Failnaught

Member
Aug 4, 2008
26
25
91
Before you get angry with my analysis, ask yourself why exactly DID 10nm go of the rails. Why, specifically, was it not based on EUV? Because EUV was expected to cost too much.
And there you have the finance mindset in its full glory. Prioritize cost over everything. Ignore risk. Ignore the benefits of starting a new tech early (learning, first access to those ASML machines). Ignore that competitors will not stand still. Ignore that new tech means new markets opening up.

This is very interesting. But I think EUV at 10nm may have been also too technically risky - TSMC 7nm was DUV, which worked great, while Samsung 7nm was EUV (?) and it appears to be less successful. Just when to deploy a new technology for production is tricky. I'd bet while Intel didn't deploy EUV for 10nm node, they were definitely playing around with it in their research labs. You can get a lot of the same experience in a small scale, research setting without any of the risk of deploying something too early to production.
 

alcoholbob

Diamond Member
May 24, 2005
6,271
323
126
Why is this so hard to understand? It's the story of American business.
Here's how American businesses have run since about WW2:
- business is founded by an engineer, takes risks, does great things.

- founder dies, second gen manager is adequate but feels insecure as both an engineer and on the finance side

- third gen manager takes over. 3rd gen manager is an MBA finance type. Thinks what the engineers do is trivial. Thinks R&D is a cost center that needs to be controlled. Thinks the world doesn't change, and that reality is whatever you want it to be. Company goes off the rails.

IBM. Boeing. Microsoft. Xerox. Now Intel. Same pattern.
It's not absolutely inevitable. Sometimes you get a new manager who appreciates that technology doesn't just happen -- Microsoft under Satya. New AMD. But it is the most common pattern.

As always, Steve understood this years ago:

Before you get angry with my analysis, ask yourself why exactly DID 10nm go of the rails. Why, specifically, was it not based on EUV? Because EUV was expected to cost too much.
And there you have the finance mindset in its full glory. Prioritize cost over everything. Ignore risk. Ignore the benefits of starting a new tech early (learning, first access to those ASML machines). Ignore that competitors will not stand still. Ignore that new tech means new markets opening up.
(eg, where are Intels' MobileEye and Habana going to be fabbed? Chip types that weren't even dreamed up back when the initial 10nm decisions were made, because the people making those decisions were not engineers, and did not consider it important to understand that new technologies open up new market possibilities.)


I think the MBA meme is more of a reflection of Kochs, Mellon Scaifes, etc spending the decades under the Great Compression (1940-1980) scheming to turn America into an oligopoly, complaining about how America had turned into a socialist paradise and it was stifling their freedom (to rule over others). Spending money influencing academics and funding think tanks to their cause to reverse public policy for their own gain, but ended up creating an economic dogma of short term maximization, and finally succeeded after packing the Supreme Court in the 70s with their victories in Belloti v Bank of Boston and Buckley v Valeo and then attaching Milton Friedman to Ronald Reagan's administration.

I think you'll find "MBAs" from before the 1980s weren't operating with this sort of "loot the business for my golden parachute" philosophy at all, because they hadn't been indoctrinated by philosophies funded by the extra money billionaires got from tax cuts yet.
 

name99

Senior member
Sep 11, 2010
404
303
136
When at AMD, I might have agreed with you. At Intel, I do not think he is the most problematic of the bunch. They also gave him whatever power he has fairly recently, so whatever he does with it now is Intel's own fault.



That is the multi-billion dollar question, isn't it? Semiconductor fabrication has gotten so complicated that not even massive expenditures can get it right. You either steal someone else's node or you just fail trying. Or you're TSMC.

Again, TSMC's successes, while impressive, are not some magic that no-one else can copy.
The primary fact of TSMC's successes is that they take small steps, once a year or so; not huge leaps.
This leads to ignorant twits on the internet constantly mocking them.
20nm and 10nm are "short nodes". 7nm+ is a stupid waste of time. This node doesn't update the metal layers only the front end. The mocking never ends.

BUT the point is that every step only involves a few controlled changes, not everything all at once! Test the new front end process this year, update the metal layers next year. Try a new material the year after that.
5nm allows them to learn about EUV, then when that's going well they'll probably introduce a 4nm that has much the same density but uses GAA. And the usual pinheads will mock that "TSMC 4nm is only 10 % better than 5nm, why even bother?" while ignoring that these tiny annual steps are precisely how you make large progress over time.

TSMC can do this because
(a) they are not morons
(b) they STFU about the future! They aren't constantly blathering about their roadmap till 2030 and how four processes from now they're going to do X and Y and Z. Because they haven't already promised a dozen crazy things, they can slip in each improvement when it is ready, no sooner.

Look at what TSMC have to say about the future:
NOTHING there that isn't completely obvious and unexpected. And because they are run by engineers, not by the marketing department, they don't have to follow a time table defined by the marketing department. What I said about TSMC 4nm -- that's my guess. But let's look at what TSMC ACTUALLY said:

“N4 is an evolution from N5,” TSMC Chairman Mark Liu told EE Times at a press event in Hsinchu, Taiwan. “We’re already in business negotiations with customers on N4.”

In other words he said NOTHING! There will be a new node, and it will be called N4. What will the new technology aspect be? Who knows? THAT IS THE POINT! N4 will be whatever is ready and working next years. It doesn't have to be X and Y and Z because TSMC didn't promise those...

Compare that with how Intel does things.
But also (and this is instructive) compare with how Samsung does things. Samsung has learned all the worst habits from Intel. Can they correct themselves in time?
Meanwhile also compare to how SMIC does things. So far SMIC has learned all the best habits from TSMC...
 

moinmoin

Diamond Member
Jun 1, 2017
4,944
7,656
136
As a non industry type, can someone help me to understand this. Are Intel's process node problems due to lack of funding or proper investment in updating their fabs? I just don't see how this problem can persist for so long. Or is it really that difficult to fabricate CPUs on smaller nodes? How is it that TSMC can keep up with manufacturing demands while Intel keeps falling behind for years now at this point?

It's shocking and dismaying that they are now having problems with 7nm :(
Foundry business is trying to marry bleeding edge R&D with reliable execution. Intel for whatever reason repeatedly bites off more than it can chew. The most likely reason is cost: R&D, building the foundries and starting up the new nodes cost a lot. For those costs to be worth the hassle Intel packs as much as possible into each new node, apparently completely losing sight of the fact that doing so makes encountering serious troubles during the progress much more likely.

For TSMC each node is a new product. Clarify its cost and its capability, guarantee its availability at a specific time, that's what they offer. (This obviously means at that point TSMC has to have had done enough R&D to be absolutely sure to be able to fulfill such guarantees.) And its customers obviously appreciate this non-nonsense approach that so many other foundries appear to be incapable of, for whatever reason.
 

alcoholbob

Diamond Member
May 24, 2005
6,271
323
126
Foundry business is trying to marry bleeding edge R&D with reliable execution. Intel for whatever reason repeatedly bites off more than it can chew. The most likely reason is cost: R&D, building the foundries and starting up the new nodes cost a lot. For those costs to be worth the hassle Intel packs as much as possible into each new node, apparently completely losing sight of the fact that doing so makes encountering serious troubles during the progress much more likely.

For TSMC each node is a new product. Clarify its cost and its capability, guarantee its availability at a specific time, that's what they offer. (This obviously means at that point TSMC has to have had done enough R&D to be absolutely sure to be able to fulfill such guarantees.) And its customers obviously appreciate this non-nonsense approach that so many other foundries appear to be incapable of, for whatever reason.

Let's not lionize TSMC just because of their recent success. They were stuck on 28nm (high performance) for like 6 years and forced Nvidia and AMD to backport Maxwell and Fury because of the delays, just like Intel is facing with Rocket Lake.
 
  • Like
Reactions: spursindonesia

jpiniero

Lifer
Oct 1, 2010
14,582
5,204
136
I'm sure it helps that TSMC is able to get a ton of revenue out of it's ancient nodes.

Let's not lionize TSMC just because of their recent success. They were stuck on 28nm (high performance) for like 6 years and forced Nvidia and AMD to backport Maxwell and Fury because of the delays, just like Intel is facing with Rocket Lake.

20 nm did get used, just not by AMD and nVidia. Apple used it. The issue with 20 nm was that it was cheaper to stay on 28 nm. And yet TSMC was able to use the same equipment for 16FF, which did get a lot of usage.
 

alcoholbob

Diamond Member
May 24, 2005
6,271
323
126
I'm sure it helps that TSMC is able to get a ton of revenue out of it's ancient nodes.

20 nm did get used, just not by AMD and nVidia. Apple used it. The issue with 20 nm was that it was cheaper to stay on 28 nm. And yet TSMC was able to use the same equipment for 16FF, which did get a lot of usage.

I thought Apple just used the 20nm low power node for their cell phone processors. What chips did they build on high performance?
 

itsmydamnation

Platinum Member
Feb 6, 2011
2,762
3,131
136
Raja might just be a trouble maker distract from the real work. Plus he certainly has power desires, eg. the RTG thing was certainly idea. Just look how since he left AMD suddenly seems to be able to produce usable GPUs again.
honestly i think that has more to do with funding then anything else
 

Doug S

Platinum Member
Feb 8, 2020
2,251
3,481
136
This is very interesting. But I think EUV at 10nm may have been also too technically risky - TSMC 7nm was DUV, which worked great, while Samsung 7nm was EUV (?) and it appears to be less successful. Just when to deploy a new technology for production is tricky. I'd bet while Intel didn't deploy EUV for 10nm node, they were definitely playing around with it in their research labs. You can get a lot of the same experience in a small scale, research setting without any of the risk of deploying something too early to production.

EUV was never an option for Intel's 10nm process. Look at its original 10nm timeline from back in 2015, and the timeline of EUV equipment from ASML and volume production milestones like a 250W source. There's no way they could have targeted their 10nm process to use EUV unless they had planned from day one for it not to begin shipping in volume until 2019, but as we all know that was not the plan.

The timing of EUV equipment is why they couldn't target the use of EUV until 7nm.
 
  • Like
Reactions: Tlh97 and Gideon

DrMrLordX

Lifer
Apr 27, 2000
21,617
10,824
136
Again, TSMC's successes, while impressive, are not some magic that no-one else can copy.

SMIC sure as hell can't do it. Samsung is slowly retreating into also-ran territory. Intel just dropped out (effectively). GF? Please.

Remove Intel from the equation and I'm not sure that your analysis holds up. Anyone can take small steps, but everyone seems to be stumbling no matter how they go about it . . . except TSMC. There isn't "magic" involved, but nobody else is able to go where they're going in the same timeframe.

This leads to ignorant twits on the internet constantly mocking them.

I'm glad I don't spend any time listening to those guys, then. Last "twits" I saw mocking them were Intel boosters lambasting the naming scheme for their nodes. That was about it.

7nm+ is a stupid waste of time.

Honestly who said that?

(b) they STFU about the future!

In the near-future, TSMC has been pretty candid and informative. I can get a lot more useful information on a node coming out in 6 months to a year from TSMC than I can from anyone else on anything else in the semiconductor industry.
 

NostaSeronx

Diamond Member
Sep 18, 2011
3,686
1,221
136
What chips did they build on high performance?
As far as I know only 20SoC launched. No chips were on 20HP as it never went volume. However, 20SoC did have big dies and high frequency;
SPARC64 XIfx => 32 cores @ 2.2 GHz
M7 => 32 cores / 256 threads @ 4.133 GHz
M8 => 32 cores / 256 threads @ 5 GHz
SPARC64 XII => 12 cores @ 3.9 GHz

At 20nm and below the distinction between high performance and low power isn't really there. Unless, it is SOI or SRB Bulk FinFET, etc.
- "iT's a LoW pOwEr pRoCeSs"
 

Doug S

Platinum Member
Feb 8, 2020
2,251
3,481
136
SMIC sure as hell can't do it. Samsung is slowly retreating into also-ran territory. Intel just dropped out (effectively). GF? Please.

Remove Intel from the equation and I'm not sure that your analysis holds up. Anyone can take small steps, but everyone seems to be stumbling no matter how they go about it . . . except TSMC. There isn't "magic" involved, but nobody else is able to go where they're going in the same timeframe.

TSMC is not immune from trouble. They've been executing well in the past half dozen years or so, but they could run into problems. They have had their share in the past, to be sure.

More to the point, if all of TSMC's competition fell away it would remove a lot of the incentives for them to keep pushing to try meet Moore's Law (or 1.7x of Moore's Law, at least) with a new process every two years. Remember how most people were rooting for AMD to do better so Intel wouldn't act like a fat lazy monopolist who didn't need to compete? There's nothing stopping TSMC from doing the same if they got into the same situation.

Sure, Apple, AMD, Qualcomm etc. might want them to keep pushing and get annoyed if TSMC slows things down, but they wouldn't have anywhere to go so they'd be forced to accept it along with all the rest of TSMC's customers.

I used to argue that even people who are diehard Intel fanboys should root for AMD to do well, so Intel has competition that forces them to keep pushing. The same is true for TSMC, even those who want to see comeuppance for Intel and be forced to eat crow and become a foundry customer should not want to see TSMC standing alone on the leading edge. It won't be good for anyone, not even for TSMC in the long run - look what years of not having to compete has done to Intel. They lost that hunger, and no longer know how to compete.