Discussion Intel Meteor, Arrow, Lunar & Panther Lakes + WCL Discussion Threads

Page 881 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
851
801
106
Wildcat Lake (WCL) Preliminary Specs

Intel Wildcat Lake (WCL) is upcoming mobile SoC replacing ADL-N. WCL consists of 2 tiles: compute tile and PCD tile. It is true single die consists of CPU, GPU and NPU that is fabbed by 18-A process. Last time I checked, PCD tile is fabbed by TSMC N6 process. They are connected through UCIe, not D2D; a first from Intel. Expecting launching in Q2/Computex 2026. In case people don't remember AlderLake-N, I have created a table below to compare the detail specs of ADL-N and WCL. Just for fun, I am throwing LNL and upcoming Mediatek D9500 SoC.

Intel Alder Lake - NIntel Wildcat LakeIntel Lunar LakeMediatek D9500
Launch DateQ1-2023Q2-2026 ?Q3-2024Q3-2025
ModelIntel N300?Core Ultra 7 268VDimensity 9500 5G
Dies2221
NodeIntel 7 + ?Intel 18-A + TSMC N6TSMC N3B + N6TSMC N3P
CPU8 E-cores2 P-core + 4 LP E-cores4 P-core + 4 LP E-coresC1 1+3+4
Threads8688
Max Clock3.8 GHz?5 GHz
L3 Cache6 MB?12 MB
TDP7 WFanless ?17 WFanless
Memory64-bit LPDDR5-480064-bit LPDDR5-6800 ?128-bit LPDDR5X-853364-bit LPDDR5X-10667
Size16 GB?32 GB24 GB ?
Bandwidth~ 55 GB/s136 GB/s85.6 GB/s
GPUUHD GraphicsArc 140VG1 Ultra
EU / Xe32 EU2 Xe8 Xe12
Max Clock1.25 GHz2 GHz
NPUNA18 TOPS48 TOPS100 TOPS ?






PPT1.jpg
PPT2.jpg
PPT3.jpg



As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



LNL-MX.png
 

Attachments

  • PantherLake.png
    PantherLake.png
    283.5 KB · Views: 24,028
  • LNL.png
    LNL.png
    881.8 KB · Views: 25,522
  • INTEL-CORE-100-ULTRA-METEOR-LAKE-OFFCIAL-SLIDE-2.jpg
    INTEL-CORE-100-ULTRA-METEOR-LAKE-OFFCIAL-SLIDE-2.jpg
    181.4 KB · Views: 72,430
  • Clockspeed.png
    Clockspeed.png
    611.8 KB · Views: 72,318
Last edited:

Fjodor2001

Diamond Member
Feb 6, 2010
4,213
583
126
Efficiency doesn't look too bad, but 18A is a bust at the higher end of the curve basically. This is N3 class node competitor basically, as many predicted correctly, without going into semantics if N3E or N3P.
We also need to remember, in regards to efficiency, N3B was a disappointing node overall, we saw it with the efficiency Apple got out of it with their chip implementation.
Simply put, 5.1GHz with a supposedly N2 node competitor, even N3P node competitor, is just bad. Efficiency being better is just N3B being a below average node, 18A is probably N3P class in terms of efficiency at lower end, N5-ish class in terms of peak performance and worse than TSMC in terms of yields.

18A is a failure basically, not a complete failure, but a failure nonetheless.
What if you focus on 18A in the mobile space?

And what if you include cost compared to corresponding specs from TSMC?
 

dullard

Elite Member
May 21, 2001
26,012
4,625
126
I laughed, but to be fair I don't think the x axis is zero indexed.

As you labeled it, Panther lake would have >50% lower power at the same performance level, but Intel only advertised >40%.
I guess it crosses at 41% on that graph.

(1) The point is that Panther Lake line is above and to the left of all of the Lunar Lake graph and all of the Arrow Lake H graph. Thus, in any operable region, it is more efficient or more performant (or both).

(2) It also shows that Panther Lake can't operate well with any more power than Lunar Lake. It reaches an asymptote in performance with increasing power. Thus, Panther Lake would not make a good desktop chip.

(3) It also shows a nice performance region would be at ~25% less power than Lunar Lake and ~7% more performance. That is where I would personally design a Panther Lake computer to operate.

If we can reach multiple conclusions from that graph, then that is not a graph that "means nothing".
1760038265906.png
 

Attachments

  • 1760037790113.png
    1760037790113.png
    136.1 KB · Views: 18
Last edited:

Covfefe

Member
Jul 23, 2025
51
73
51
I guess it crosses at 41% on that graph.

(1) The point is that Panther Lake line is above and to the left of all of the Lunar Lake graph and all of the Arrow Lake H graph. Thus, in any operable region, it is more efficient or more performant (or both).

(2) It also shows that Panther Lake can't operate well with any more power than Lunar Lake. It reaches an asymptote in performance with increasing power. Thus, Panther Lake would not make a good desktop chip.

(3) It also shows a nice performance region would be at ~25% less power than Lunar Lake and ~7% more performance. That is where I would personally design a Panther Lake computer to operate.

If we can reach multiple conclusions from that graph, then that is not a graph that "means nothing".
View attachment 131728

To be clear, Intel's claim isn't >40% as much power at the same performance, it's ">40% lower power at the same performance". The way that you labeled the chart Panther lake would use 59% lower power at the same performance.

If your annotation of the chart is right, then why didn't intel claim >50% or >55%?
 

dullard

Elite Member
May 21, 2001
26,012
4,625
126
To be clear, Intel's claim isn't >40% as much power at the same performance, it's ">40% lower power at the same performance". The way that you labeled the chart Panther lake would use 59% lower power at the same performance.

If your annotation of the chart is right, then why didn't intel claim >50% or >55%?
I got your point. I could be slightly off. My point is that the graph has meaning -- regardless of numbers or accuracy of the numbers.

I'm just laughing at the tired old "graphs are meaningless without numbers" argument that has been used for decades in this forum.
 
  • Like
Reactions: DKR

ondma

Diamond Member
Mar 18, 2018
3,310
1,694
136
I got your point. I could be slightly off. My point is that the graph has meaning -- regardless of numbers or accuracy of the numbers.

I'm just laughing at the tired old "graphs are meaningless without numbers" argument that has been used for decades in this forum.
Just because an argument has been used for decades, doesn't mean it isn't valid. I will grant that the numbers inferred for the graph in question make sense, but in a general situation, not showing numbers on the axis can lead to false conclusions. For instance, if an axis doesn't start at zero, it can be used to exaggerate differences. Even then, for the graph in question, it is still bogus to only label the x axis as percent. It would be much more meaningful to have actual power usage.
 

dullard

Elite Member
May 21, 2001
26,012
4,625
126
Just because an argument has been used for decades, doesn't mean it isn't valid. I will grant that the numbers inferred for the graph in question make sense, but in a general situation, not showing numbers on the axis can lead to false conclusions. For instance, if an axis doesn't start at zero, it can be used to exaggerate differences. Even then, for the graph in question, it is still bogus to only label the x axis as percent. It would be much more meaningful to have actual power usage.
Numbers would be better, of course. But that wasn't your original statement.

I personally don't see what is wrong with percent of power. But, if you want to give rough values, you can go by Lunar Lake's operating TDP: 8 W to 37 W. Then you can roughly label the graph with power. I wouldn't put the 8 W label and 37 W label exactly at the limits on that graph. It isn't like you can run at 8 W but 7.99 W suddenly fails or 37 W works and 37.01 W fails. But, put them close to the graph limits and you won't be too far off from the truth.

If you want more accuracy than this crude labeling, then you'll have to wait for final BIOSes which won't be ready for a couple months. And you'd probably want an array of benchmarks, not just SPEC Int. This is good enough, unlabeled, to get a decent idea of what is going on.
 
Last edited:
  • Like
Reactions: DKR

dullard

Elite Member
May 21, 2001
26,012
4,625
126
Well, considering 18A uses GAAFET and PowerVia BSPDN, it’s got all the cutting edge bells and whistles yet is barely holding on in the cutting edge node race.
Your comment wasn't sitting quite right with me, so I looked it up. Panther Lake with 18A has a preliminary version of those--not the cutting edge bells and whistles.

Intel 18A-P (sometime in 2026) revises both RibbonFET and PowerVia. https://www.intel.com/content/www/us/en/foundry/process/18a.html These revisions supposedly give another 8% boost:
Intel divulged today that it has wafers of its high-performance 18A-P node in the fab. This 18A variant features an optimized power and frequency curve, providing an 8% improvement in performance per watt.
https://www.tomshardware.com/pc-com...s-3d-die-stacking-14a-process-node-enablement
 
  • Like
Reactions: DKR

Meteor Late

Senior member
Dec 15, 2023
303
324
96
What if you focus on 18A in the mobile space?

And what if you include cost compared to corresponding specs from TSMC?

What do you mean "in the mobile space"? I am comparing it to already existing or past products, such as Meteor Lake, Lunar Lake or Arrow Lake.
If Intel got 5.1GHz out of 185H Intel 4, how is 5.1GHz again for Panther Lake anything other than an epic fail? I see all sorts of excuses such as binning, yields, etc, but this is true for any node out there, that frequencies will improve with better binning and yields over the time. It's only Intel that stagnates.
 

Saylick

Diamond Member
Sep 10, 2012
4,051
9,462
136
Your comment wasn't sitting quite right with me, so I looked it up. Panther Lake with 18A has a preliminary version of those--not the cutting edge bells and whistles.

Intel 18A-P (sometime in 2026) revises both RibbonFET and PowerVia. https://www.intel.com/content/www/us/en/foundry/process/18a.html These revisions supposedly give another 8% boost:

https://www.tomshardware.com/pc-com...s-3d-die-stacking-14a-process-node-enablement
I'm not sure I agree with your opinion that 18A's implementation of GAAFET and PowerVia are not cutting edge. Even if it's a first-implementation of those features, no one else in the business has a HVM node with GAAFET and/or BSPDN (and no, Samsung's GAAFET nodes do not count; the yields on their GAAFET nodes are terribad). It would be like saying Intel's 22nm FinFET node wasn't cutting edge just because it was not fully optimized, which I firmly disagree. 22nm was cutting edge when it came out. Intel was the first to do it.
 
  • Like
Reactions: 511 and Joe NYC

Fjodor2001

Diamond Member
Feb 6, 2010
4,213
583
126
What do you mean "in the mobile space"?
I meant what I said. Maybe 18A is good enough for mobile space such as laptops, but currently less so for desktop/server due to not yet reaching sufficient perf/watt if going beyond frequencies suitable for mobile.

Also again, what about cost? 18A should be much cheaper for Intel than corresponding dies from TSMC (now that the process tech is already available, i.e. after sunk cost for Intel). So profit per CPU should be higher for Intel going forward.
 

Josh128

Golden Member
Oct 14, 2022
1,327
2,000
106
This slide sums Intels Panther Lake claims up nicely. They managed to surpass MT on Lunar Lake by a significant margin-- thats pretty impressive as that showcases 18A node superiority vs N3B.

Now, they didnt specify if the +50% at the same power is the 8 core PTL vs 8 core LNL or 16 PTL core vs 8 core LNL, but I assume its the latter. If so its less impressive, but still a decent showing for 18A. And all this without on package memory of LNL.

By the way, what the heck is an IPU??

1760055197986.png
 

Shmee

Memory & Storage, Graphics Cards Mod Elite Member
Super Moderator
Sep 13, 2008
8,214
3,127
146
Alright, I am confused a bit. Wikipedia redirects to Panther Lake from Nova Lake. Probably an editing error by someone.

So is Panther Lake an Arrow Lake refresh?
 

gdansk

Diamond Member
Feb 8, 2011
4,577
7,692
136
Alright, I am confused a bit. Wikipedia redirects to Panther Lake from Nova Lake. Probably an editing error by someone.

So is Panther Lake an Arrow Lake refresh?
Hmm, no. It has too many changes to be a refresh. New configurations, new GPU, new process, updated CPU cores.

I don't know why it would redirect to Nova Lake as that will have further updated cores and should be late next year.
 

Shmee

Memory & Storage, Graphics Cards Mod Elite Member
Super Moderator
Sep 13, 2008
8,214
3,127
146
Hmm, no. It has too many changes to be a refresh. New configurations, new GPU, new process, updated CPU cores.
Alright I see what you mean, not a refresh. I guess I should ask, what socket is it for? New or existing?
 

Shmee

Memory & Storage, Graphics Cards Mod Elite Member
Super Moderator
Sep 13, 2008
8,214
3,127
146
Oh I see, for laptops only. I was starting to wonder...ok makes sense.