Discussion Intel current and future Lakes & Rapids thread

Page 737 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

jpiniero

Lifer
Oct 1, 2010
14,510
5,159
136
Who says Lunar Lake is using 18A?

Though that aside, the comment about LNL coming "further ahead" compared to ARL is interesting. Maybe an H1 launch for ARL, and H2 for LNL?

Production readiness to me doesn't sound like Lunar will actually be released in 2024, even though I'd agree they want to make it sound like it would be.
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
Production readiness to me doesn't sound like Lunar will actually be released in 2024, even though I'd agree they want to make it sound like it would be.
True, there's usually a ~1Q gap between shipping to OEMs and systems on shelves. Surely they'll want LNL laptops on shelves for Black Friday, but if they only manage to ship at the way end of 2025, that's not awful. If ARL is even 1Q prior, it will probably be actually available sometime H2.
 

Saylick

Diamond Member
Sep 10, 2012
3,084
6,184
136
"& Beyond". That column is not just LNL, same as the previous one isn't just MTL.
So you're suggesting that the slide should be interpreted such that the nodes shown underneath the product names are of no relation to the products themselves and are simply presented there for timeline's sake? E.g. Lunar Lake could use 20A but 18A is shown under that portion of the slide only because it becomes production reading in 2024+? If this is the case, then the slide could have been clearer if they split out the product timeline with the node timeline instead of lumping them together as if to suggest the products are being paired with the nodes underneath them.
 
  • Like
Reactions: ftt

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
So you're suggesting that the slide should be interpreted such that the nodes shown underneath the product names are of no relation to the products themselves and are simply presented there for timeline's sake?
There isn't no relation, but they're lumping together multiple things in one column, and likely leaving out some as well (e.g. N6 and N5/N4 for MTL/ARL). Like how Meteor Lake isn't using N3 despite it being listed in the "Meteor Lake & Arrow Lake" column. And yes, I agree that's somewhat confusing, and they could have structured the slide better.

The most recent rumors pegged LNL as being on N3. With other rumors also claiming N3 for ARL compute dies, it doesn't seem implausible.
 

Henry swagger

Senior member
Feb 9, 2022
356
235
86
There isn't no relation, but they're lumping together multiple things in one column, and likely leaving out some as well (e.g. N6 and N5/N4 for MTL/ARL). Like how Meteor Lake isn't using N3 despite it being listed in the "Meteor Lake & Arrow Lake" column. And yes, I agree that's somewhat confusing, and they could have structured the slide better.

The most recent rumors pegged LNL as being on N3. With other rumors also claiming N3 for ARL compute dies, it doesn't seem implausible.
I think lunar lake will use tsmc n3e
 

ashFTW

Senior member
Sep 21, 2020
303
225
96
There isn't no relation, but they're lumping together multiple things in one column, and likely leaving out some as well (e.g. N6 and N5/N4 for MTL/ARL). Like how Meteor Lake isn't using N3 despite it being listed in the "Meteor Lake & Arrow Lake" column. And yes, I agree that's somewhat confusing, and they could have structured the slide better.

The most recent rumors pegged LNL as being on N3. With other rumors also claiming N3 for ARL compute dies, it doesn't seem implausible.
Looks like you missed my previous post. I believe there is high confidence LNL will be on 18A based on the Intel slide I posted from last month.

I also believe that just like Intel 4, Intel 20A will not be a “full process”, so only high perf Arrow Lake CPU chiplet will be made with it. Intel 18A, akin to Intel 3, will provide rest of the missing libraries. IFS is focusing on 18A for this very reason. Edit: This is how Intel is doing “5 processes in 4 years“, by doing couple of partial ones.

Another gut feel I have, though I could be completely wrong, LNL (or a low end version of it) may end up being monolithic to better compete with  M series.
 
Last edited:
  • Like
Reactions: Vattila and ftt

IntelUser2000

Elite Member
Oct 14, 2003
8,686
3,785
136
Just like I speculated with Meteorlake's low power SoC island. Web browsing and video. That should provide a substantial improvement in light load battery life.

I also believe that just like Intel 4, Intel 20A will not be a “full process”, so only high perf Arrow Lake CPU chiplet will be made with it. Intel 18A, akin to Intel 3, will provide rest of the missing libraries. IFS is focusing on 18A for this very reason. Edit: This is how Intel is doing “5 processes in 4 years“, by doing couple of partial ones.

I hope so too, but I don't use that as what may actually be. So far they are saying it's not. Either they are confused/wrong or there's another client part that'll be using 18A.

There must be a reason for the order in why External is shown before 18A.
 
Last edited:
  • Like
Reactions: ashFTW and Geddagod

ashFTW

Senior member
Sep 21, 2020
303
225
96
There must be a reason for the order in why External is shown before 18A.
Ok, I didn’t pay attention to that nuance. Thanks for pointing it out!

Since 18A is an IFS node as well, they will need to be flexible wrt how much capacity they allocate to internal customers. I believe IFS does have potential customer(s) for 18A but there is no commitment as yet. Only Intel knows the extent of flexibility they need to realistically plan for.

The follow up to Granite Rapids (Diamond Rapids?) will for sure be on 18A, but the client can either be dual sourced or totally made externally. I think it might be wise to make at least the smallest LNL chips/chiplets on 18A and the rest externally. This small LNL chip can also be used to ramp the process, before manufacturing the much bigger follow up to GNR.
 
Last edited:

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
Looks like you missed my previous post. I believe there is high confidence LNL will be on 18A based on the Intel slide I posted from last month.
I think this is a bit of a reach. If LNL was coming in 2024 with 18A, then why does that slide talk say "Between Now & 2025"? And if that "Future Client" chip is indeed Lunar Lake, why leave it unnamed? I'm not going to say it's impossible, but it would be odd that's Intel's being so cagey about it.
I also believe that just like Intel 4, Intel 20A will not be a “full process”, so only high perf Arrow Lake CPU chiplet will be made with it. Intel 18A, akin to Intel 3, will provide rest of the missing libraries. IFS is focusing on 18A for this very reason. Edit: This is how Intel is doing “5 processes in 4 years“, by doing couple of partial ones.
This I can definitely see. The only thing known to be on 20A is an Arrow Lake compute die. No reason then to support anything more than they do for Intel 4.
Another gut feel I have, though I could be completely wrong, LNL (or a low end version of it) may end up being monolithic to better compete with  M series.
That would be interesting. If the process is ready for it, monolithic would certainly be better for PnP, but it would also be a repudiation of the MTL-style chiplet approach.
 

ashFTW

Senior member
Sep 21, 2020
303
225
96
think this is a bit of a reach. If LNL was coming in 2024 with 18A, then why does that slide talk say "Between Now & 2025"? And if that "Future Client" chip is indeed Lunar Lake, why leave it unnamed? I'm not going to say it's impossible, but it would be odd that's Intel's being so cagey about it.
If 18A is manufacturing ready in H2 2024 (which usually means end 2024), all/most 18A products including LNL, Future Rapids, as well as any IFS customer chips will arrive in 2025. So “Between Now & 2025” is totally apt. To further stress the point: Intel 4 is manufacturing ready “today“ (= Q4 ‘22 when that talk was given), and MTL is expected late ‘23. The dates in that slide relate to the process, not the products built using them.

Exact future names are often left out because one part of Intel may not know exactly what another part of Intel may have already disclosed. LNL is just starting to be talked about starting with the client TAM webinar that just happened yesterday. Also, note that we have heard Diamond Rapids is to follow Granite Rapids, but still the slide only says “future Rapids”.

That would be interesting. If the process is ready for it, monolithic would certainly be better for PnP, but it would also be a repudiation of the MTL-style chiplet approach.
MTL style chiplet approach is quite valid and elegant, but it‘s probably not the best choice for very low power devices, 15W and below where every W counts. It could be monolithic or have fewer tiles, perhaps merging CPU, GPU? I think there will still be LNL products built in fully flexible MTL like style, but maybe not at the lowest end. Of course, I could be totally wrong, it’s just a hunch.
 
Last edited:

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
Exact future names are often left out because one part of Intel may not know exactly what another part of Intel may have already disclosed.
Pretty sure this is all going through more or less the same marketing team. But ok, guess we'll just have to wait and see.
It could be monolithic or have fewer tiles, perhaps merging CPU, GPU?
I feel like memory controller is the big question. Significant latency and power considerations with having it on a different die and lesser node. Think the GPU will be almost always be separate, especially since it seems to be sticking with TSMC for now.
 
  • Like
Reactions: ashFTW

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
For team working on AVX-512 Genoa is the clear winner
For reasons independent of the specific AVX-512 implementation. Genoa is benefiting from more cores, memory bandwidth, better node, etc. Not a magical AVX-512 implementation as some were claiming.

But that particular image is an odd choice. An outlier with Genoa's AVX performance. Not sure what your purpose was in including it. Are you trying to claim that's unduly harming Genoa's standing?

The conclusion:

1674081917514.png
 

nicalandia

Diamond Member
Jan 10, 2019
3,330
5,281
136
For reasons independent of the specific AVX-512 implementation. Genoa is benefiting from more cores, memory bandwidth, better node, etc. Not a magical AVX-512 implementation as some were claiming.
I am sorry, come again? Who came on top of the Chart on That Review? Does it matter how AMD is able to implement AVX-512 When at the end of the day large corporation look at CTO? Do they even care about how the core is built?

Geomean for the Sapphire Rapids is 47.19 Points for $34,000 worth of CPUs

Geomean for Genoa is 56.34 Points for $24,000 woth of CPUs

Please do the math. Who is AVX-512 Boss now?
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
Does it matter how AMD is able to implement AVX-512 When at the end of the day large corporation look at CTO? Do they even care about how the core is built?
Since you've spent the last few months explicitly talking about how AMD's implemented AVX-512, then yes, I think it's relevant to bring up. If you want to talk actual platform performance and value, fine, but you know that hasn't been the extent of the recent rhetoric.
 

Markfw

Moderator Emeritus, Elite Member
May 16, 2002
25,483
14,434
136
Since you've spent the last few months explicitly talking about how AMD's implemented AVX-512, then yes, I think it's relevant to bring up. If you want to talk actual platform performance and value, fine, but you know that hasn't been the extent of the recent rhetoric.
Do you always move the goalposts to have the last word and try and support your position ?
 

nicalandia

Diamond Member
Jan 10, 2019
3,330
5,281
136
Since you've spent the last few months explicitly talking about how AMD's implemented AVX-512, then yes, I think it's relevant to bring up. If you want to talk actual platform performance and value, fine, but you know that hasn't been the extent of the recent rhetoric.
If you want to be picky then, let's do that. We know that AMD implements a double pump AVX-512(two AVX-256).. I have said it many times, it's not the best implementation as compared to Intel, but it works just fine for most AVX-512 Worloads, there are a few regression on that benchmark due to that and even then AMD is still coming up on top.

1674082975707.png

1674083012331.png

1674083056649.png


Even with those regression Overall AMD is coming up in Top
 
  • Like
Reactions: Markfw and Mopetar

Mopetar

Diamond Member
Jan 31, 2011
7,797
5,899
136
I don't really get what anyone is complaining or griping about. Previously AMD didn't even offer AVX-512 and just lost those benchmarks by default. Now they actually offer a competitive alternative.
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
Do you always move the goalposts to have the last word and try and support your position ?
In case you weren't aware, holding people to their original statements is the opposite of moving the goalposts. Are you seriously going to claim no one's been talking about AMD's AVX-512 implementation?
know that AMD implements a double pump AVX-512.. I have said it many times, it's not the best implementation as compared to Intel, but it works just fine for most AVX-512 Worloads
That's a distinctly different tone than has been the norm over the past few weeks/months. Why else would it be remotely notable?