Discussion Intel current and future Lakes & Rapids thread

Page 650 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
I found this the most interesting in the whole article:


Some crazy stuff right there if true. Printing fine features with SAQP, but some less tight one with EUV? No wonder there is Intel 3 in pipeline as well, some low hanging fruit there.
Emphasis on "if true". Pat Gelsinger went big on saying that the revised Intel 4 uses a ton more EUV than pre-delay. Certainly most important layers (~13 IIRC) are EUV in order to achieve process simplification: 1655119414630.png1655119423070.png
 

nicalandia

Diamond Member
Jan 10, 2019
3,330
5,281
136
And 1.63x would be the best case scenario, caches and PHYs scale worse so sounds like they won't hit 50% more transistors per mm^2 on any real designs.

The Area reduction is only 25% on the compute side(Compute Tile vs Compute section: Alder Lake vs Meteor Lake)


Intel 7
8P Core cluster: 84.23 mm^2
8E Core cluster: 26.34 mm^2


Intel 4
8P Core cluster: 62.9 mm^2
8E Core cluster: 19.8 mm^2

1655130356700.png
 
Last edited:
  • Like
Reactions: BorisTheBlade82

Ajay

Lifer
Jan 8, 2001
15,429
7,849
136
I think the scaling is greater for High performance library which would be more important for Intel, which is actually closer to 2x scaling. View attachment 63016


Holy smokes! I really thought that Intel 4 was just an evolution of Intel 7. If this diagram is correct - then I was woefully misinformed. That reduction in library height for an HP process is totally unexpected. Maybe Intel's process group's new leadership has truly got themselves 'unstuck' and are burning the midnight oil. If this scales well and yields well - I’ll be impressed and eating some crow.

Honestly, I’ll be happy if it is right. It was embarrassing and an economic blow to US for one of our tech giants to fall so far behind in technology.

Also, I’ll owe @Henry swagger an apology - if actual products are equally impressive.
 
  • Like
Reactions: Henry swagger

jpiniero

Lifer
Oct 1, 2010
14,584
5,206
136
Holy smokes! I really thought that Intel 4 was just an evolution of Intel 7. If this diagram is correct - then I was woefully misinformed.

Intel has always said that I4 is the 7 nm process renamed. Well, it might be a gutted version of it, but it's not derived from 10 nm.

Here's an article from 2018 quoting Intel as saying that 7 nm is intended to be a normal 2x shrink:
 
  • Like
Reactions: Tlh97 and moinmoin

repoman27

Senior member
Dec 17, 2018
342
488
136
I'm pretty amazed by the number of people posting positive responses to the Intel 4 density disclosures. They're horrible—they are not even close to BK's "2.4x" figure from Q1 2018 or even the 2x from the 2019 Investor Meeting. The fact that they're not disclosing cell height for the HD library or density metrics directly comparable to what they touted during the run-up to 10nm is a blatant red flag.

metricIntel 10nmIntel 4 (low)Intel 4 (high)scaling
fin pitch (nm)
34​
30​
30​
0.88x​
contacted poly pitch (nm)
54​
50​
50​
0.93x​
HD cell height (fins)
8​
6​
6​
0.66x​
HP cell height (fins)
12​
8​
8​
0.59x​
HD density (MTr/mm²)
100.8​
164.4​
173.1​
1.63-1.72x​
HP density (MTr/mm²)
67.2​
123.3​
129.8​
1.84-1.93x​
HD SRAM Cell (µm²)
0.0312​
0.0240​
0.0240​
0.77x​
HC SRAM Cell (µm²)
0.0441​
0.0300​
0.0300​
0.68x​

I included both low and high estimates for Intel 4 depending on what the scan flip flop cell looks like. So yay, Intel 4 arriving in client products in 2023 *might* be able to match TSMC N5 from 2020 in density.
 

JoeRambo

Golden Member
Jun 13, 2013
1,814
2,105
136
So yay, Intel 4 arriving in client products in 2023 *might* be able to match TSMC N5 from 2020 in density.


The keyword here is Intel. You know the company that continued to shove us 2014's 14nm for 7 years and is still selling server CPUs on it.
So by their horrible standards getting Intel 4 in 2023 is important if uninspired advance.

The actual scaling is as always incredibly hard to quantify, as it depends on amount of login/SRAM/marketing department cunning stat etc. Even if we take TSMC N5 density as some "poster" child, AMD is releasing Zen4 sometime this year on it, and i think it is same ~70mm^2 deal per chiplet, not showing any amazing scaling for SRAM, nor logic for what is mostly the same CPU with AVX512 and 32MB of L3
 
  • Like
Reactions: Henry swagger

repoman27

Senior member
Dec 17, 2018
342
488
136
The keyword here is Intel. You know the company that continued to shove us 2014's 14nm for 7 years and is still selling server CPUs on it.
So by their horrible standards getting Intel 4 in 2023 is important if uninspired advance.

The actual scaling is as always incredibly hard to quantify, as it depends on amount of login/SRAM/marketing department cunning stat etc. Even if we take TSMC N5 density as some "poster" child, AMD is releasing Zen4 sometime this year on it, and i think it is same ~70mm^2 deal per chiplet, not showing any amazing scaling for SRAM, nor logic for what is mostly the same CPU with AVX512 and 32MB of L3
Here are comparable numbers for TSMC N5:

metricIntel 4TSMC N5
fin pitch (nm)
30​
30​
contacted poly pitch (nm)
50​
48​
HD cell height (fins)
6​
6​
HP cell height (fins)
8​
7.5​
HD density (MTr/mm²)
164.4​
171.3​
HP density (MTr/mm²)
123.3​
137.0​
HD SRAM Cell (µm²)
0.0240​
0.0210​
HC SRAM Cell (µm²)
0.0300​
0.0250​

There's no winning for Intel here. Not to mention that TSMC also has N4 with a 6% optical shrink and plenty of performance improvements this year. Furthermore, Intel's MTL CPU tile is not even remotely comparable to some of the stuff that has already shipped on N5, and will potentially be sharing an interposer with TSMC N3/4/5P tiles!
 
  • Like
Reactions: Vattila

dullard

Elite Member
May 21, 2001
25,054
3,408
126

Henry swagger

Senior member
Feb 9, 2022
363
237
86
Here are comparable numbers for TSMC N5:

metricIntel 4TSMC N5
fin pitch (nm)
30​
30​
contacted poly pitch (nm)
50​
48​
HD cell height (fins)
6​
6​
HP cell height (fins)
8​
7.5​
HD density (MTr/mm²)
164.4​
171.3​
HP density (MTr/mm²)
123.3​
137.0​
HD SRAM Cell (µm²)
0.0240​
0.0210​
HC SRAM Cell (µm²)
0.0300​
0.0250​

There's no winning for Intel here. Not to mention that TSMC also has N4 with a 6% optical shrink and plenty of performance improvements this year. Furthermore, Intel's MTL CPU tile is not even remotely comparable to some of the stuff that has already shipped on N5, and will potentially be sharing an interposer with TSMC N3/4/5P tiles!
Anantech has a article up.. intel 4 is high performance cells only no high density cells as those will be in intel 3 node.. intel hp cells are 180mmt not your values
 
  • Like
Reactions: pcp7

JasonLD

Senior member
Aug 22, 2017
485
445
136
Intel was comparing cell height x CPP, not MTr/mm²—the metric they all said we should use when they were actually ahead of TSMC.

Using CPP x CH number, Inter 4 is right between TSMC N5 and N3, closer to N3.
화면 캡처 2022-06-13 113643.png

 

repoman27

Senior member
Dec 17, 2018
342
488
136
Anantech has a article up.. intel 4 is high performance cells only no high density cells as those will be in intel 3 node.. intel hp cells are 180mmt not your values
Yeah, OK, so that just makes it worse. Intel renamed 7nm with HP library "Intel 4" and 7nm with HD library "Intel 3". I guess that's one way to get to 5 nodes in 4 years. My numbers for Intel 4 HD should be right for Intel 3. Do you have a source for that 180 number?
 

repoman27

Senior member
Dec 17, 2018
342
488
136
Using CPP x CH number, Inter 4 is right between TSMC N5 and N3, closer to N3.
Only if you completely ignore the fact that there are higher density libraries available on N5.

That article did have a bunch of good info in it though, thanks for the link. My numbers were based on four-year-old estimates by David Schor without any data from reverse engineering.

The other thing that inflates Intel's scaling claims is that they compared to Intel 7 rather than earlier 10nm nodes, which slacks the CPP from 54 to 60 nm.
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
Is it even confirmed that Intel 3 will have a high density library? They've previously mentioned "Denser HP library", but nothing explicitly about HD. Like, one would assume, but...
 

DisEnchantment

Golden Member
Mar 3, 2017
1,601
5,780
136
Is it even confirmed that Intel 3 will have a high density library? They've previously mentioned "Denser HP library", but nothing explicitly about HD. Like, one would assume, but...
Intel 3 will have 2 Fin HD library and IO libs.
Intel 4 is not bad, it is big improvement over the 4 fin Intel 7 as used on ADL. But it does not have IO or HD lib, but good enough for internal consumption since apparently Intel use 4 Fin designs everywhere on Intel 7 :D
If they keep same clocks they are going to get 40% efficiency gains. Not bad, as long as they are achieving what they said they did on the actual device.
If they get the chiplet tech working well, they could stitch tiles and not be bothered as much by yields. They can use other foundry nodes for SoC/IO tiles until Intel 3.
 

jpiniero

Lifer
Oct 1, 2010
14,584
5,206
136
If they get the chiplet tech working well, they could stitch tiles and not be bothered as much by yields.

It could still be bothersome. They might be able to get something out of most Meteor Lake CPU tiles, but surely Intel won't be happy if we are talking about cutting most to 1+4 or 0+4.
 

Ajay

Lifer
Jan 8, 2001
15,429
7,849
136
Intel has always said that I4 is the 7 nm process renamed. Well, it might be a gutted version of it, but it's not derived from 10 nm.

Here's an article from 2018 quoting Intel as saying that 7 nm is intended to be a normal 2x shrink:
My bad. I've focused most of my attention on AMD and TSMC over the past few years and have only been tacitly following Intel's (mostly disappointing) developments. I thought the company was so broken, that it would never recover. As such, any progress look like a win to me. As in, maybe they do have a chance at reaching node parity in a few years or not, we'll see.
 

mikk

Diamond Member
May 15, 2012
4,133
2,136
136
What are you on about? Meteor Lake(Redwood Cove Core) is an evolution of Alder Lake/Raptor Lake on a smaller node. They look Identical in every way possible.


I'm saying it's not the same core and therefore you cannot simply assume Intel 4 over Intel 7 is only a 25% scaling just because Redwood Cove scaled down 25%. There are some analysis regarding Redwood Cove, you might have a look at this. They can beef up various parts of the core without any obvious differences on a die shoot like this. Another thing is that Crestmont scaled down roughly 34% which implies it's a smaller evolution over Gracemont than Redwood Cove over Golden Cove.
 
  • Like
Reactions: Vattila

Doug S

Platinum Member
Feb 8, 2020
2,252
3,483
136
Intel 3 will be available for customers like nvidia.. jensen already said they.ll use intel nodes in the future

With everyone going to chiplets, declarations that a company will use a certain foundry are even less useful than they were before. Use Intel for what? The difference between using Intel for an 800 mm^2 monolithic GPU, using Intel for one of the chiplets in a new chiplet based GPU, and using Intel for a GPU designed for embedded markets is vast.
 

FangBLade

Member
Apr 13, 2022
199
395
96
Intel 3 will be available for customers like nvidia.. jensen already said they.ll use intel nodes in the future
I hope Intel node won't redacted up Nvidia plans just like it did to Intel-s. Nvidia and their CEO are too succesful to Intel, and Intel isn't reliable partner, especially with node plans. Delay is Intel trademark, so Huang will be very careful. And Huang dind't say they will use Intel nodes in future, read again.





No profanity allowed in the tech forums. Read the rules.


esquared
Anandtech Forum Director
 
Last edited by a moderator: