EETimes: ST plans for Dresden FDSOI production

Page 5 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Idontcare

Elite Member
Oct 10, 1999
21,118
58
91
Hmm, right now STM only has a Memorandum of Understand with GF. IDK, what does this typically mean in the foundry business?

Latest STM & GF news Item that I could find.

:D congratulations, you just found the OP :p

An MoA is what you sign when two companies are formally in negotiations for something more concrete.

Sort of like how hardware reviewers have to sign an NDA before they actually get to put their hands on the hardware that is to be reviewed.
 

Ajay

Lifer
Jan 8, 2001
15,454
7,862
136
Duhhhhhhhhhhh.......... :oops:

Well, from everything I've read (including what SocketF posted) - I hope that the MoU leads to a deal that gets FD-SOI into Dresden in time for Q4 production! Performance wise it's basically the same as getting 20nm up and running without the cost of new tooling, designs etc. AMD would be able to get some competitive SR Opterons out in 2014 and hopefully boost their Server market share up and get a bunch of upgrade orders as well (assuming they stay with AM3+ for SR). That market is large enough and lucrative enough to support more than one Vendor (Intel) and I hope AMD goes for it. Seems like SR w/28nm FD-SOI would easily be +50% faster (ST) and hopefully will offer at least 6 modules (for over 2x MT performance!) - all within similar power envelopes. This is assuming AMD doesn't find a way to screw it up (which I doubt with Keller at the helm).
 

GlacierFreeze

Golden Member
May 23, 2005
1,125
1
0
With the new CEO having the tall task of climbing uphill and making AMD competitive again, you'd figure he'd be all over something like this, assuming there aren't any undisclosed/hidden negatives to it. Maybe it's going to happen and deals just haven't been totally finalized yet. Dunno.
 

Third_Eye

Member
Jan 25, 2013
37
0
0
So bizarre. If GF is just a foundry partner, why is AMD paying for GF's R&D. Ruiz must have been the worst negotiator ever - that or he got something else out of the deal.

Bcos officially 28nm as well as 20/22 nm are going to be Bulk HKMG for the IBM Common Platform consortium.

So SOI will not surface again till 14nm. Currently GF runs its 32 nm PD-SOI line solely for AMD. If AMD has to go SOI route, it has to bear the RnD costs as no other client is using SOI.

ST Micro even though a part of the IBM consortium, was not able to put its 28nm FD-SOI as a part of the "official" consortium roadmap. Hence it has gone on its own and licensed it to GF for manufacturing its client ST-Ericcson's Novo and Thor series of SOCs, MSMs and MDMs.

Soon 32nm SOI will be used for IBM to manufacture Power 7+ MPUs and even Power 8.
http://www-03.ibm.com/press/us/en/pressrelease/36465.wss

That was the reason AMD has decided to only go with whatever is the "Standard Process Technology" at Global foundries.
 

Third_Eye

Member
Jan 25, 2013
37
0
0
My process technology background and experience with SOI compels me to be suspicious of ST's claims...but it costs me nothing to take them at their word until proven otherwise.

That said, perhaps what is more telling here is what is not being said (and who is electing to not say anything).

Global Foundries is central to all of this, and no foundry shies away from the opportunity to sing their own praises when it comes to process technology capability and timeline. In fact, if anything they over-sell their capability and over-hype their timeline to such an extent that the reader usually builds in an expected "reality factor" to the foundry's press releases.

As ST would tell it, their 28nm FDSOI is the MOAN (mother of all nodes).

And yet we don't see GloFo beating down the door with press releases vaunting their newly implemented "TSMC killer" technology.
Actually all GF promo documents and videos in its website, compare it with the "Other Leading Foundry" ;-D. So it does refer to TSMC indirectly...

If there is any company to paper promise technology, it is STM, followed by GF. :D

So in a way made for each other.

BTW in Sep 2012 GF suddenly went on their power point missile :D launch with the 14nm shrink. In page 6 we see that 28nm bulk is put under 2011 timeframe. 28nm FDSOI in H1-2013 and 20nm bulk in H2-2013. Really!
The market seemed to be overflowing with 28nm HKMG products from GloFo...
LOL!
 
Last edited:

Ajay

Lifer
Jan 8, 2001
15,454
7,862
136
Bcos officially 28nm as well as 20/22 nm are going to be Bulk HKMG for the IBM Common Platform consortium.

So SOI will not surface again till 14nm. Currently GF runs its 32 nm PD-SOI line solely for AMD. If AMD has to go SOI route, it has to bear the RnD costs as no other client is using SOI.

ST Micro even though a part of the IBM consortium, was not able to put its 28nm FD-SOI as a part of the "official" consortium roadmap. Hence it has gone on its own and licensed it to GF for manufacturing its client ST-Ericcson's Novo and Thor series of SOCs, MSMs and MDMs.

Soon 32nm SOI will be used for IBM to manufacture Power 7+ MPUs and even Power 8.
http://www-03.ibm.com/press/us/en/pressrelease/36465.wss

That was the reason AMD has decided to only go with whatever is the "Standard Process Technology" at Global foundries.

GloFo could change their "Standard Process Technology" if it will help them win addition business (look at what ST-Ericsson was able to with their 28nm FD-SOI Quad A9 Arm SoC - it showed massive gains!). If 28nm FD-SOI become the standard process tech @ Dresden, then AMD will not have to pay R&D. Right now STM & GF have an MoU under which they may come to a deal to make STM's FD-SOI a standard process @ Dresden. This should be good for GF and AMD. We'll just have to wait and see. Sounds like the timeline is to get a deal done by the end of February - so, hopefully, we won't have to wait long.
 

Ajay

Lifer
Jan 8, 2001
15,454
7,862
136
Actually all GF promo documents and videos in its website, compare it with the "Other Leading Foundry" ;D

If there is any company to paper promise technology, it is STM, followed by GF. :D

STM has fabricated an ARM SoC using FD-SOI. So they are not a paper tiger on this issue. They also state that they are ready for "risk" production of 28nm FD-SOI (The max wafer capacity is between 1.4-2.0M wafers/month at Crolles, FR).
 

ShintaiDK

Lifer
Apr 22, 2012
20,378
145
106
STM has fabricated an ARM SoC using FD-SOI. So they are not a paper tiger on this issue. They also state that they are ready for "risk" production of 28nm FD-SOI (The max wafer capacity is between 1.4-2.0M wafers/month at Crolles, FR).

Max capacity between 1.4 and 2 MILLION wafers per month? Not even TSMCs entire production counted in 8" equalent wafers is that high.
 

Khato

Golden Member
Jul 15, 2001
1,206
250
136
There's actually some interesting information to be gleaned from the presentations available on the SOI consortium website, for example this one from last December - http://www.soiconsortium.org/fully-... below for extremely power-efficient SoCs.pdf

- Page 27 has a nice chart showing Multi-Core A9 Dynamic Power versus Frequency for both 28nm LP and 28nm FDSOI. Looks like at the 1.85 GHz frequency power goes from ~1.4W down to 0.9W, not terribly surprising given the reduction in Vdd. It also shows that running at that 2.5 GHz frequency most definitely does come at a cost as power consumption goes up to around ~2.3W. It's also quite unfortunate that they don't show any figures for non-zero BB as such will affect power consumption.

- Quite a few pages throughout documenting the reduced variability inherent to the process.

- Page 13 has an Idsat vs voltage chart which I'm guessing from the values is for the NMOS transistors, and probably at 1nA/um Ioff going off the numbers from an IBM presentation for ETSOI which is basically the same thing. It looks to be somewhere around 550 uA @ 0.75V, compared to Intel's 22nm SP at 710 uA. (Actual transistor characteristics are nice to have, and sadly they're hard to come by from the FDSOI crowd.)

- Page 9 has a somewhat-covered diagram showing what all changes need be made on the manufacturing side (masks and process stages) to go from bulk to FDSOI.

- Page 7 goes into a bit more detail on the body biasing. Note that you actually can have separate body bias per transistor... it's kinda necessary considering that a forward body bias for a NMOS transistor is a reverse body bias for a PMOS transistor. But that's really just a trick to increase speed at the cost of power as you're essentially slightly biasing the transistor all the time so that it's closer to 'ready' to turn on.
 

Idontcare

Elite Member
Oct 10, 1999
21,118
58
91
STM has fabricated an ARM SoC using FD-SOI. So they are not a paper tiger on this issue. They also state that they are ready for "risk" production of 28nm FD-SOI (The max wafer capacity is between 1.4-2.0M wafers/month at Crolles, FR).

Max capacity between 1.4 and 2 MILLION wafers per month? Not even TSMCs entire production counted in 8" equalent wafers is that high.

The motivation to get this process technology transferred to GloFo and ramped to production must be tied up in the capex required to expand to production volumes.

ST doesn't want to make the investment; they are hoping GloFo will and that ST might make some licensing revenue in the process to at least offset their R&D outlays to develop the process.

If ST had the money to install capacity of their own then they would not need GloFo's involvement.

It does appear to be running the risk of being too little too late when the volume production timeline is factored in. 28nm FD-SOI would have been the bomb compared to TSMC were this still 2011.

However, if production volumes are not realistic until mid to late 2014 then this could just be one last gasp from team SOI while the rest of the semiconductor industry steadily marches towards 16nm and 14nm finfet bases technologies without looking back.
 

NTMBK

Lifer
Nov 14, 2011
10,237
5,020
136
The motivation to get this process technology transferred to GloFo and ramped to production must be tied up in the capex required to expand to production volumes.

ST doesn't want to make the investment; they are hoping GloFo will and that ST might make some licensing revenue in the process to at least offset their R&D outlays to develop the process.

If ST had the money to install capacity of their own then they would not need GloFo's involvement.

It does appear to be running the risk of being too little too late when the volume production timeline is factored in. 28nm FD-SOI would have been the bomb compared to TSMC were this still 2011.

However, if production volumes are not realistic until mid to late 2014 then this could just be one last gasp from team SOI while the rest of the semiconductor industry steadily marches towards 16nm and 14nm finfet bases technologies without looking back.

However, if 28nm FD-SOI gives similar performance to 20nm, could this not be a good way of keeping 28nm fabs "relevant" whilst also ramping up 20nm fabs?
 

ShintaiDK

Lifer
Apr 22, 2012
20,378
145
106
However, if 28nm FD-SOI gives similar performance to 20nm, could this not be a good way of keeping 28nm fabs "relevant" whilst also ramping up 20nm fabs?

FD-SOI 28nm would lose to a 20nm bulk. So no reason to use the 28nm FD-SOI at that point.
 

SocketF

Senior member
Jun 2, 2006
236
0
71
FD-SOI 28nm would lose to a 20nm bulk. So no reason to use the 28nm FD-SOI at that point.

I doubt that, 20nm bulk and without Finfets is supposed to be kind of less effective and expensive:

The 20nm planar node also brings some new and challenging technologies to the mix, such as double patterning and the introduction of a third layer of local interconnects called the middle-of-the-line. At 20nm planar, there is a performance boost over 28nm, but the transistor speeds slow down as operating voltage is reduced.

IC makers that moved from 40nm to 28nm have experienced a 35% average increase in speed and a 40% power reduction, said Jack Sun, vice president of R&D and chief technology officer at TSMC. In comparison, IC vendors that will move from 28nm to 20nm planar are expected to see a 15% increase in speed and 20% less power, Sun said.

With that in mind, there is a temptation to skip 20nm and migrate to finFETs. FinFETs take the traditional 2D planar design and turn the conductive channel on its side, resulting in a 3D “fin” structure surrounded by a gate that controls the flow of current.
Source: semimd.com Googe-cache. The website is not working for me now.

I assume the above comments are some of the reasons why Intel's using finfets already.

From a price / performance view-point I would say that 28nm FD-SOI would win clearly against 20nm. The performance should be more or less similar or even better for FD-SOI, 20nm has smaller chips (but not for so much as usual, because 28nm FD-SOI is still gate-first, whereas 20nm is gate last), then 20nm will be more expensive and last but not least the initial yields will be worse than 28nm, too. So all in all 28nm FD-SOI seems to be the better choice.
 
Last edited:

Ajay

Lifer
Jan 8, 2001
15,454
7,862
136
Max capacity between 1.4 and 2 MILLION wafers per month? Not even TSMCs entire production counted in 8" equalent wafers is that high.

Oops! :oops: There must have been a misprint in what I read - sorry (it was a translation from French). Obviously an error since even at only 50 dice/wafer that'd bee 100 million parts/ month. Maybe it should have been per year, and that would probably be max capacity, not actual run rates.
 

Ajay

Lifer
Jan 8, 2001
15,454
7,862
136
FD-SOI 28nm would lose to a 20nm bulk. So no reason to use the 28nm FD-SOI at that point.

As SocketF points out, not against 20nm planar. Plus the cost savings in being able to reuse the equipment from 28nm bulk and still get higher performance/watt would be a huge incentive. Possibly a big enough incentive to skip past 20nm bulk to 14nm FD-SOI, except that I think GF has customers waiting on 20nm bulk.
 

Ajay

Lifer
Jan 8, 2001
15,454
7,862
136
The motivation to get this process technology transferred to GloFo and ramped to production must be tied up in the capex required to expand to production volumes.

ST doesn't want to make the investment; they are hoping GloFo will and that ST might make some licensing revenue in the process to at least offset their R&D outlays to develop the process.

If ST had the money to install capacity of their own then they would not need GloFo's involvement.

It does appear to be running the risk of being too little too late when the volume production timeline is factored in. 28nm FD-SOI would have been the bomb compared to TSMC were this still 2011.

However, if production volumes are not realistic until mid to late 2014 then this could just be one last gasp from team SOI while the rest of the semiconductor industry steadily marches towards 16nm and 14nm finfet bases technologies without looking back.

Hmm, so GF really does need to 'push the button' on this in February or forget about it. No wonder STM is pushing so hard!
 

SocketF

Senior member
Jun 2, 2006
236
0
71
except that I think GF has customers waiting on 20nm bulk.
Maybe, but if I remember correctly then GF will start with 20nm only from the new NY fab. Dresden should stay @28nm for some time.

Edit:
@Idontcare:
If you speak about "Team SOI" then please dont forget IBM, they are doing what they want and that is currently ET-SOI. If I understood it correctly it is just a tuned version of FD-SOI. So they will be there in any case.

Edit2:
Just forgot about that GF roadmap:
http://www.rfdesignline.com/electro...olving-says-Globalfoundries-CEO-?pageNumber=1

Seems there is no discussion about FD-SOI yes or no, it is yes, otherwise it wouldnt be already on the GF slides, would it?
 
Last edited:

Ajay

Lifer
Jan 8, 2001
15,454
7,862
136
Maybe, but if I remember correctly then GF will start with 20nm only from the new NY fab. Dresden should stay @28nm for some time.

Edit:
@Idontcare:
If you speak about "Team SOI" then please dont forget IBM, they are doing what they want and that is currently ET-SOI. If I understood it correctly it is just a tuned version of FD-SOI. So they will be there in any case.

Edit2:
Just forgot about that GF roadmap:
http://www.rfdesignline.com/electro...olving-says-Globalfoundries-CEO-?pageNumber=1

Seems there is no discussion about FD-SOI yes or no, it is yes, otherwise it wouldnt be already on the GF slides, would it?

Another nice Find SocketF. Looks like GF has bought into FD-SOI, so perhaps the coming up with a mutually beneficial contract is the only slowdown right now. And, why bother w/20nm for only 1 year?! Yes, GF has some sunk costs into 20nm R&D, but they still aren't anywhere near risk production (and haven't likely tooled up - though they could have binding contracts on 20nm tooling). Seems to me, to be a no brainer to move customers towards 28nm FD-SOI or try to get customers to wait on 14nm FD-SOI.

Ugh, there is a little bit of light at the end of the tunnel, but the damn devil is in the details.
 

Ajay

Lifer
Jan 8, 2001
15,454
7,862
136
Globalfoundries and roadmap can't be in the same sentence without a gargantuan quantity of salt.

Yes, in terms of future production (like 20nm), but for something imminent, like FD-SOI, then there can be some confidence. If GF is a top 3 foundry by 2015-16, then they will be golden. Until then, they need a handful of high quality high volume wins to boost their standings (and boost their own confidence so they don't feel the need to make outrageous PR claims). A resurgent AMD could be one of those 'wins'*.


**Based on what I've read lately, AMD really needs to become competitive in Big Cores again, the market for small core servers is becoming red hot, with many players with their own high speed switching networks (Meshes).
 

Idontcare

Elite Member
Oct 10, 1999
21,118
58
91
Yes, in terms of future production (like 20nm), but for something imminent, like FD-SOI, then there can be some confidence. If GF is a top 3 foundry by 2015-16, then they will be golden. Until then, they need a handful of high quality high volume wins to boost their standings (and boost their own confidence so they don't feel the need to make outrageous PR claims). A resurgent AMD could be one of those 'wins'*.


**Based on what I've read lately, AMD really needs to become competitive in Big Cores again, the market for small core servers is becoming red hot, with many players with their own high speed switching networks (Meshes).

The problem with GloFo, as mrmt rightly puts front and center in this discussion, is that 28nm bulk has been "something imminent" for some 2yrs now.

In a sense, GloFo is doing what AMD is doing in that it is rushing around, madly, trying to stoke the fires on a multitude of process tech projects simultaneously...meanwhile customers are wondering when they'll just get the one out, let alone the rest.
 

SocketF

Senior member
Jun 2, 2006
236
0
71
Btw. anybody knows why the 28nm shrinks of Bobcat, codename Krisha was canceled? Was it GF's 28nm process or AMD's tight budget?
 

Ajay

Lifer
Jan 8, 2001
15,454
7,862
136
The problem with GloFo, as mrmt rightly puts front and center in this discussion, is that 28nm bulk has been "something imminent" for some 2yrs now.

In a sense, GloFo is doing what AMD is doing in that it is rushing around, madly, trying to stoke the fires on a multitude of process tech projects simultaneously...meanwhile customers are wondering when they'll just get the one out, let alone the rest.

Ah, I understand now. GF == :|
 

Idontcare

Elite Member
Oct 10, 1999
21,118
58
91
Btw. anybody knows why the 28nm shrinks of Bobcat, codename Krisha was canceled? Was it GF's 28nm process or AMD's tight budget?

Has AMD publicly/officially acknowledged which foundry is going to fab jaguar-based products?