News Report claims that Intel will build Core i3s at TSMC

Page 4 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

NTMBK

Lifer
Nov 14, 2011
10,232
5,013
136
Reporting from Tom's Hardware here, and the actual report from Trendforce here.

Intel has outsourced the production of about 15-20% of its non-CPU chips, with most of the wafer starts for these products assigned to TSMC and UMC, according to TrendForce’s latest investigations. While the company is planning to kick off mass production of Core i3 CPUs at TSMC’s 5nm node in 2H21, Intel’s mid-range and high-end CPUs are projected to enter mass production using TSMC’s 3nm node in 2H22.

...

TrendForce believes that increased outsourcing of its product lines will allow Intel to not only continue its existence as a major IDM, but also maintain in-house production lines for chips with high margins, while more effectively spending CAPEX on advanced R&D. In addition, TSMC offers a diverse range of solutions that Intel can use during product development (e.g., chiplets, CoWoS, InFO, and SoIC). All in all, Intel will be more flexible in its planning and have access to various value-added opportunities by employing TSMC’s production lines. At the same time, Intel now has a chance to be on the same level as AMD with respect to manufacturing CPUs with advanced process technologies.

I have no idea how credible Trendforce are, or what their track record is, but they seem pretty confident. Sounds like Intel might be pivoting their internal fabs to be "IBM-like"- lower volume, higher margin products, tuned to absolute max performance, and don't need to yield high enough to manufacture cheap PC chips.
 

jpiniero

Lifer
Oct 1, 2010
14,584
5,206
136
I would think that this would be a normal foundry deal - part of the reason it does make sense is that while it would be a large order it wouldn't be the kind of order where Intel would need a whole fab or something like that.
 

Gideon

Golden Member
Nov 27, 2007
1,625
3,650
136
A good article about intel's problems:


It has some irritating inaccuracies (AMD did challenge Intel in datacenters with Opteron, and Nvidia's data center GPUs are manufactured by TSMC not Samsung, etc) but overall it still explains the problems quite well
 
Last edited:
  • Like
Reactions: Failnaught

moinmoin

Diamond Member
Jun 1, 2017
4,944
7,656
136
A good article about intel's problems:


It has some irritating inaccuracies (AMD did challenge Intel in datacenters with Opteron, and Nvidia's data center GPUs are manufactured by TSMC not Samsung, etc) but overall it still explains the problems quite well
I don't really like the article. The individual analyses are fine, but the whole order is messy and gives blurry view of the whole picture. The "solutions" (likely as a result of the messy structure up to that point) are plain insane to put it bluntly.

To boil down Intel's two biggest problems:
  1. Losing performance leadership: This is imo Intel's biggest problem, its products no longer being seen as the clear king of the hill in performance. This endangers not only sales of its own bleeding edge products, but also the whole of the x86 market, which includes all the lower end products. Here Intel is really fortunate that AMD is regaining competitiveness just in time for x86 not to lose face across all possible markets. Otherwise we wouldn't look only at cloud service providers and Apple moving over to ARM. As long as the x86 market exists as a dominant market Intel can at least deliver as a producer of commodity x86 chips, only Intel is able to deliver the quantity.
  2. Losing foundry leadership: This is the long coming problem Intel management and the stock market turned a blind eye to way too long, this is the original cause of the 1st problem, Intel needs to resolve this if it wants to even have the chance to get back to previous heights. Otherwise Intel will go the way of IBM, GE and the likes and turn into something else altogether.
For the causes and possible solutions let's first look how TSMC arrived at the current place:
  1. It did so by being the one pure play foundry pretty much every fabless company relies on for bleeding edge products. Bleeding edge nodes allow for performance and energy efficiency numbers that are not as possible with older nodes, as a result customers are willing to pay good money for it, which again allows TSMC to both expand capacity as well as to pay the ever more expensive R&D for further improved process nodes. The quantity of customers is what keeps this train going.
  2. Due to customers' demand for a reliably predictable yearly cadence of nodes TSMC keeps the changes between nodes small enough to be feasible and achievable within the giving time frame. While that leads to performance and energy efficiency that are not as impressive as past node jumps, the improvements are still marketable, and several node jumps in still comparatively short time frames still accumulate to significant improvements.
Now let's look where it all went wrong for Intel:
Intel successfully ignored the economy of scale that makes TSMC this successful.
  1. The internal solution:
    1. The first chance to profit of said economy of scale Intel missed by rejecting Apple's offer to create the chip for its then upcoming iPhone.​
    2. The second chance to profit of said economy of scale was creating SoCs for the thriving mobile market. Instead going for high performance and fixing its lackluster energy efficiency (something Pentium 4 showed Intel didn't care for for too long), Intel went for the low performance low profit completely unremarkable and noncompetitive Atom line.​
  2. The external solution: Having failed to increase the economy of scale due to first misreading the market and then making a mess with the specific design for it, Intel needs to look for other ways to get better economy of scale. Say hello to the mess that is Intel Custom Foundry.
    1. Intel opened its foundries to external customers. But instead offering it as a standardized service with guarantees for node features and time frames Intel let its customers bear the brunt of the work and risk. Result was that several customers faltered (e.g. LG stopped doing its own chips) while the biggest customer in Altera was bought.​
    2. Had Intel approached Intel Custom Foundry as the service it intuitively should be, it would have strictly separated its silicon design from it foundry business (incidentally that's exactly what Samsung is doing). If Intel had done that at the point it introduced Intel Custom Foundry the design stagnation in all the Skylake remake years wouldn't have happened, Rocket Lake would have been done the core design was done instead being held back by a node stuck in hell.​
    3. Once such a separation were in place, the design team could develop performance and energy efficiency improvements independent from the node (like AMD just did from Zen 2 to Zen 3). Outsourcing would be a valid option where economically sensible for the company as a whole.​
    4. The foundry team would need to offer working products instead works in progress like the current 10nm and 7nm nodes appear to be. It needs to offer a service that is competitive against Samsung's and TSMC's offers. In the ideal case it would also offer smaller node steps like its two competitors are using. For that Intel's foundries need the economy of scale, and for that they also need external customers.​
What happened instead is that with 14nm a node that was already fully paid off was retained and to this day is being milked dry as the supreme cash cow. Management and stock market in their eternal wisdom welcomed the rising margins and record financial numbers. But what's actually being milked dry here is the whole process node advantage and, by extension, silicon design advantage Intel enjoyed until the first half of last decade. Now the advantages are no more.
 
Last edited:

moinmoin

Diamond Member
Jun 1, 2017
4,944
7,656
136
Rumor that Intel cancelled orders from ASML which go to TSMC instead, indicating push for outsourcing. Take with a pinch of salt, but a big deal if true.

There appears to be at least one signal that Intel has decided to move toward more outsourcing. ASML Holdings (ASML), a Dutch maker of semiconductor manufacturing equipment used by Intel and Taiwan Semiconductor Manufacturing (TSM), reported early Wednesday that it sold a net of six high-end systems in the fourth quarter.

According to Bernstein analyst Mark Li, that “net” statement implies some cancellations. While there were no specifics on the call, Li concludes that Intel cancelled some orders, and that Taiwan Semi asked for more equipment, indicating that Intel will outsource more production to Taiwan Semi.
 
  • Like
Reactions: NTMBK

Doug S

Platinum Member
Feb 8, 2020
2,253
3,483
136
Rumor that Intel cancelled orders from ASML which go to TSMC instead, indicating push for outsourcing. Take with a pinch of salt, but a big deal if true.




I literally posted exactly this on Saturday LOL:

It just doesn't seem reasonable that Intel is going to get any more than a token amount of TSMC's 5nm wafers in the next two years, unless they make a deal like an EUV scanner swap where they give TSMC some of their orders arriving sooner in exchange for TSMC orders arriving later. It isn't like Intel has any use of them right now...
 
  • Like
Reactions: moinmoin

Ajay

Lifer
Jan 8, 2001
15,430
7,849
136
It just doesn't seem reasonable that Intel is going to get any more than a token amount of TSMC's 5nm wafers in the next two years, unless they make a deal like an EUV scanner swap where they give TSMC some of their orders arriving sooner in exchange for TSMC orders arriving later. It isn't like Intel has any use of them right now...
But, if this is true (Intel being able to make such a swap), then 7nm is pretty much where 10nm was before release - AKA, moribund. They will slip from N-1, to N-2; which just isn't sustainable.
 

Doug S

Platinum Member
Feb 8, 2020
2,253
3,483
136
But, if this is true (Intel being able to make such a swap), then 7nm is pretty much where 10nm was before release - AKA, moribund. They will slip from N-1, to N-2; which just isn't sustainable.

Intel had already taken delivery of enough EUV scanners to operate one or more 7nm fabs. It would be going beyond that which would be a problem, but we're talking 2025 or later at the rate they're proceeding. They wouldn't be able to use the additional EUV scanners today, but TSMC can on Intel's behalf.
 

LightningZ71

Golden Member
Mar 10, 2017
1,627
1,898
136
The problem for the rest of the industry with this is that an Intel, with it's very deep pockets, combined with an Apple, with their much deeper pockets, can easily outbid everyone for wafers on leading edge nodes and effectively keep all comers at a technical disadvantage with respect to profitability or performance. If I was AMD, I would be shopping at least some parts to Samsung and encouraging GF to continue to at least improve 12lp+ to keep some other players available in the field.
 

Doug S

Platinum Member
Feb 8, 2020
2,253
3,483
136
The problem for the rest of the industry with this is that an Intel, with it's very deep pockets, combined with an Apple, with their much deeper pockets, can easily outbid everyone for wafers on leading edge nodes and effectively keep all comers at a technical disadvantage with respect to profitability or performance. If I was AMD, I would be shopping at least some parts to Samsung and encouraging GF to continue to at least improve 12lp+ to keep some other players available in the field.

Intel spending their own money to throw in with TSMC (whether temporarily or permanently) and getting an advantage is no different than the previous situation a few years ago of Intel spending their own money to maintain a process lead on the rest of the market.
 
  • Like
Reactions: Zucker2k

moinmoin

Diamond Member
Jun 1, 2017
4,944
7,656
136
Intel spending their own money to throw in with TSMC (whether temporarily or permanently) and getting an advantage is no different than the previous situation a few years ago of Intel spending their own money to maintain a process lead on the rest of the market.
Difference is now Intel is helping TSMC increase its CapEx while itself not profiting of it aside the bought capacity, whereas with its process lead it got the cash cow that is 14nm. Hard to get the latter again with the former approach.
 

Vattila

Senior member
Oct 22, 2004
799
1,351
136
The problem for the rest of the industry with this is that an Intel, with it's very deep pockets, combined with an Apple, with their much deeper pockets, can easily outbid everyone for wafers

I see this often on message boards. But it is not correct. Intel (or Apple) does not pay for wafers out of their cash pile (retained earnings are part of shareholders' equity on the balance sheet). Wafer cost is part of cost of sales, which has to be covered by revenues. Revenue minus cost of sales is gross profit, and the ratio is called gross margin. Investors are crazy about gross margin. Companies with growing gross margin are rewarded with elevated stock prices, and vice versa, companies with declining gross margin are punished.

Intel can only afford to pay more for wafers if they can raise the average selling price (ASP) of their products accordingly, as to maintain gross margin. Otherwise they will get punished. Their margins are already under pressure due to the more costly 10nm process (compared to 14nm), combined with competitive pressure from AMD on their ASP.

Recently, Intel has used their cash pile primarily for shareholder returns; i.e. stock buy-backs and dividend. This aims to counteract the punishment the stock has taken due to the declining gross margin, process development failures and weaker competitive position. However, many argue they should use more of it to invest in their future (R&D and capital expenditure). Noteworthy, TSMC is now vastly outspending Intel, with $25-28B in capital expenditure planned for 2021. For comparison, Intel spent $14B in 2020, which is less than the $17B planned.

Also note that Intel's cash on hand ($24B) after subtracting long-term debt ($34B) is negative. Total liabilities were $72B for 2020; a 22% year-on-year increase.

Intel Total Liabilities 2006-2020 | INTC | MacroTrends

Regarding outsourcing, the primary argument for the Integrated Device Manufacturer (IDM) business model, is to increase gross margin by driving down manufacturing cost. By outsourcing manufacture you have to pay the manufacturer their gross margin. Now, with a dual strategy, Intel has the cost of keeping up their own manufacturing, while at the same time paying the price of outsourcing. I guess that will be hard to balance.

Regarding bidding for wafer supply, Intel has a couple of options to sweeten the deal, that does not affect their gross margin negatively:
  1. Due to their size, they can of course offer to buy large volumes, and agree to large penalties, if not met. Large volumes may even allow lower pricing. However, giving TSMC large volumes and revenue undermines their own manufacturing ambitions as an IDM. And for TSMC it may be a risk, if the relationship is only temporary, since they may end up with excess capacity.

  2. Use their cash pile to invest in joint ventures; such as R&D and capital investment (e.g. joint fabs). By the way, AMD did this in their "big lift" to 7nm (as CTO Mark Papermaster described it), by working with TSMC on the process, design rules and EDA tools. However, partnering with Intel may not be in TSMC's best interest, if it aids Intel's IDM ambitions.
 
Last edited:

Zucker2k

Golden Member
Feb 15, 2006
1,810
1,159
136
Difference is now Intel is helping TSMC increase its CapEx while itself not profiting of it aside the bought capacity, whereas with its process lead it got the cash cow that is 14nm. Hard to get the latter again with the former approach.
You forgot the part about competitiveness in the chipmaking landscape. What Intel lacks at the moment is also what is giving its competitors, mainly Apple and AMD, so until they can bring their own silicon to TSMC's level, the best thing they can do is to eliminate the disadvantage by producing chips at the same source as their chief competitors. This is especially worth undertaking if Intel finds a way to still use everything they can produce from their own fabs, which this resurgent PC market seems to demand. As it stands right now, it seems Intel is even struggling for capacity at its own fabs so yeah, I don't see this move as anything but a necessary step until Intel can solve its problems.
Interestingly, what nobody is talking about is what Intel fabbing at TSMC means for the power and density advantage AMD has enjoyed with Zen 2 & 3 and how the market translates that going forward. This move will effectively plug the market share hole and limit AMD's ability to take on bigger contracts, if Intel can meet the demands of its customers in quantity and quality, which it's only doing the former at the moment and barely with the latter.
 

Doug S

Platinum Member
Feb 8, 2020
2,253
3,483
136
Difference is now Intel is helping TSMC increase its CapEx while itself not profiting of it aside the bought capacity, whereas with its process lead it got the cash cow that is 14nm. Hard to get the latter again with the former approach.

Oh I completely agree, but Intel is screwed either way. If they try to plow forward on their own and can't catch up, or worse fall further behind, then AMD will skim the cream off the top of the market by owning nearly 100% of the highest margin CPU market and leave the low margin stuff for Intel - exactly what Intel did to AMD for so many years.

I'm sure their hope/plan is that relying on TSMC a temporary stepping stone for a few years while they get their manufacturing back on track. Though absent a TSMC stumble beyond N3 I'm not sure how they catch back up even if they do since they're talking about shipping 7nm stuff in late 2022 - when TSMC will be shipping N3 and maintaining a lead of a full process generation. Maybe they have some sort of dream about making a big jump between 7nm and 5nm, or even skipping 5nm altogether, but trying to do too much at once was part of the problem with their 10nm failure.
 
  • Like
Reactions: moinmoin

Ajay

Lifer
Jan 8, 2001
15,430
7,849
136
Intel had already taken delivery of enough EUV scanners to operate one or more 7nm fabs. It would be going beyond that which would be a problem, but we're talking 2025 or later at the rate they're proceeding. They wouldn't be able to use the additional EUV scanners today, but TSMC can on Intel's behalf.
2023->2024->2025 for HVM, looking like anything we've seen before; that has a '10' in it. I have no idea how Gelsinger fixes this. Intel has gone from 2 years ahead to something like 4 years behind so fast it's hard to comprehend (well, for me at least).
 

blckgrffn

Diamond Member
May 1, 2003
9,123
3,057
136
www.teamjuchems.com
2023->2024->2025 for HVM, looking like anything we've seen before; that has a '10' in it. I have no idea how Gelsinger fixes this. Intel has gone from 2 years ahead to something like 4 years behind so fast it's hard to comprehend (well, for me at least).

I think it took something like six years?

hahaha :D

I can do simple math! :p
 

moinmoin

Diamond Member
Jun 1, 2017
4,944
7,656
136
Oh I completely agree, but Intel is screwed either way. If they try to plow forward on their own and can't catch up, or worse fall further behind, then AMD will skim the cream off the top of the market by owning nearly 100% of the highest margin CPU market and leave the low margin stuff for Intel - exactly what Intel did to AMD for so many years.

I'm sure their hope/plan is that relying on TSMC a temporary stepping stone for a few years while they get their manufacturing back on track. Though absent a TSMC stumble beyond N3 I'm not sure how they catch back up even if they do since they're talking about shipping 7nm stuff in late 2022 - when TSMC will be shipping N3 and maintaining a lead of a full process generation. Maybe they have some sort of dream about making a big jump between 7nm and 5nm, or even skipping 5nm altogether, but trying to do too much at once was part of the problem with their 10nm failure.
Yes, this is what Intel has to get through. Honestly the biggest issue I see with Intel now is that it allowed the process node troubles stagnate the development on silicon designs as well. If it unlinked them much earlier Intel might have had a design advantage even when outsourcing, even on TSMC. Now it has to fight on both fronts at once, nodes and designs.
 

jpiniero

Lifer
Oct 1, 2010
14,584
5,206
136
2023->2024->2025 for HVM, looking like anything we've seen before; that has a '10' in it. I have no idea how Gelsinger fixes this. Intel has gone from 2 years ahead to something like 4 years behind so fast it's hard to comprehend (well, for me at least).

If Intel had a proper chiplet strategy they could make it work provided they could stay at least on the trailing edge. The one thing AMD doesn't have is anything better than GloFo 12 that's cheap. Put stuff that doesn't need to be on the bleeding edge but could be useful to be on trailing.