Discussion Intel Meteor, Arrow, Lunar & Panther Lakes + WCL Discussion Threads

Page 72 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
850
801
106
Wildcat Lake (WCL) Preliminary Specs

Intel Wildcat Lake (WCL) is upcoming mobile SoC replacing ADL-N. WCL consists of 2 tiles: compute tile and PCD tile. It is true single die consists of CPU, GPU and NPU that is fabbed by 18-A process. Last time I checked, PCD tile is fabbed by TSMC N6 process. They are connected through UCIe, not D2D; a first from Intel. Expecting launching in Q2/Computex 2026. In case people don't remember AlderLake-N, I have created a table below to compare the detail specs of ADL-N and WCL. Just for fun, I am throwing LNL and upcoming Mediatek D9500 SoC.

Intel Alder Lake - NIntel Wildcat LakeIntel Lunar LakeMediatek D9500
Launch DateQ1-2023Q2-2026 ?Q3-2024Q3-2025
ModelIntel N300?Core Ultra 7 268VDimensity 9500 5G
Dies2221
NodeIntel 7 + ?Intel 18-A + TSMC N6TSMC N3B + N6TSMC N3P
CPU8 E-cores2 P-core + 4 LP E-cores4 P-core + 4 LP E-coresC1 1+3+4
Threads8688
Max Clock3.8 GHz?5 GHz
L3 Cache6 MB?12 MB
TDP7 WFanless ?17 WFanless
Memory64-bit LPDDR5-480064-bit LPDDR5-6800 ?128-bit LPDDR5X-853364-bit LPDDR5X-10667
Size16 GB?32 GB24 GB ?
Bandwidth~ 55 GB/s136 GB/s85.6 GB/s
GPUUHD GraphicsArc 140VG1 Ultra
EU / Xe32 EU2 Xe8 Xe12
Max Clock1.25 GHz2 GHz
NPUNA18 TOPS48 TOPS100 TOPS ?






PPT1.jpg
PPT2.jpg
PPT3.jpg



As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



LNL-MX.png
 

Attachments

  • PantherLake.png
    PantherLake.png
    283.5 KB · Views: 24,028
  • LNL.png
    LNL.png
    881.8 KB · Views: 25,522
  • INTEL-CORE-100-ULTRA-METEOR-LAKE-OFFCIAL-SLIDE-2.jpg
    INTEL-CORE-100-ULTRA-METEOR-LAKE-OFFCIAL-SLIDE-2.jpg
    181.4 KB · Views: 72,430
  • Clockspeed.png
    Clockspeed.png
    611.8 KB · Views: 72,318
Last edited:

moinmoin

Diamond Member
Jun 1, 2017
5,242
8,456
136
Intel has mentioned it has ample EUV capacity, they even provided specific numbers at one point, though some here don’t believe them. They have enough that they can use it for Intel 18a if needed. I suspect most of it is reserved for IFS. I also suspect Intel is in negotiations with a few large (and potentially surprising) potential customers, hence why we aren’t seeing much about Intel 4/3. Said customers would need to see that capacity is available before moving forward on any deal.

There are a lot of folks here that are pessimistic about Intel and rightfully so. Intel made severe missteps in the past and due to the nature of the business it takes years to recover. Because of this, it can seem like Intel is not recovering when they actually (supposedly) are.

To put things into perspective AMD began work on Zen 1 in 2012-2013 and the part did not launch until 2017. That is for a single architecture. I think 2025 Intel will look very different from 2023 Intel.

Time will tell, of course.
I think there is no doubt Intel will look very different in a couple of years. The big question is how Intel will look then. The recent slimming effort with layoffs in many areas seemingly except IFS may well mean IFS is all the focus now while chip designs are on the backburner. But that may be a topic more suitable to the "Leading Edge Foundry Node advances" thread than this one.
 
Jul 27, 2020
28,051
19,149
146
Intel is in the unenviable position of not only in dire need of catching up to AMD/TSMC but also leapfrogging them in both process and CPU performance/watt as well as the top performance crown in desktop/mobile/server markets. If they manage to do all that, Pat Gelsinger will have a fab named after him and maybe a whole campus too.
 

dullard

Elite Member
May 21, 2001
26,007
4,618
126
Intel is in the unenviable position of not only in dire need of catching up to AMD/TSMC but also leapfrogging them in both process and CPU performance/watt as well as the top performance crown in desktop/mobile/server markets. If they manage to do all that, Pat Gelsinger will have a fab named after him and maybe a whole campus too.
That goal all comes down to if Intel gets High-NA EUV up and running first. 2025 has long been their stated goal to be back in the lead with "Unquestioned Leadership".

With Intel paying dearly to get High-NA first while TSMC is drastically cutting back on its contracted EUV purchases (meaning they just got on ASML's bad side), Intel actually has a chance. Sure, Intel can screw it up, but there is a distinct opportunity to meet their goal.
 

BorisTheBlade82

Senior member
May 1, 2020
710
1,132
136
It's an exciting CPU if:

1) tGPU has great performance and gets within 25% of RDNA3 iGPU's perf

2) RWC and CM cores bring at least 10% performance uplift at the same clockspeed

3) These cores consume 15% less power at iso frequency

4) Memory latency is not worse than Raptor Lake or RPL-R

5) The cache on the I/O die rivals the performance benefit of V-cache
If you mean all at once: Not gonna happen.
 

Hitman928

Diamond Member
Apr 15, 2012
6,695
12,370
136
That goal all comes down to if Intel gets High-NA EUV up and running first. 2025 has long been their stated goal to be back in the lead with "Unquestioned Leadership".

With Intel paying dearly to get High-NA first while TSMC is drastically cutting back on its contracted EUV purchases (meaning they just got on ASML's bad side), Intel actually has a chance. Sure, Intel can screw it up, but there is a distinct opportunity to meet their goal.

If memory serves me correctly, Intel is delaying their transition to high-NA EUV and said they could make their Angstrom class processes without it. I’ll see if I can dig up a source.

Edit: link discussing it here https://www.anandtech.com/show/17415/asmls-highna-update-coming-to-fabs-in-2024-2025

It’s not official but given ASML’s timeline for delivering the machines and Intel’s timeline for their 20a/18a processes, it doesn’t seem possible for them to be using high-NA EUV machines until whatever follows 18a. The other option is that 20a/18a come significantly later than Intel has promised.
 
Last edited:

H433x0n

Golden Member
Mar 15, 2023
1,224
1,606
106
Intel is in the unenviable position of not only in dire need of catching up to AMD/TSMC but also leapfrogging them in both process and CPU performance/watt as well as the top performance crown in desktop/mobile/server markets. If they manage to do all that, Pat Gelsinger will have a fab named after him and maybe a whole campus too.
It really only comes down to catching up / surpassing TSMC. If they reach parity or surpass TSMC then the CPU performance/watt problem solves itself.
 

dullard

Elite Member
May 21, 2001
26,007
4,618
126
If memory serves me correctly, Intel is delaying their transition to high-NA EUV and said they could make their Angstrom class processes without it. I’ll see if I can dig up a source.

Edit: link discussing it here https://www.anandtech.com/show/17415/asmls-highna-update-coming-to-fabs-in-2024-2025

It’s not official but given ASML’s timeline for delivering the machines and Intel’s timeline for their 20a/18a processes, it doesn’t seem possible for them to be using high-NA EUV machines until whatever follows 18a. The other option is that 20a/18a come significantly later than Intel has promised.
I perfer Anandtech's other article on the topic:

It does look like Intel is going to start with 18A before High-NA instruments are up and running. Note: this chart can be misread, the colored squares are when Intel has them up and running--NOT when customers can buy the chips.
IntelRoadmap_H1_2022b.png


But, Intel may switch 18A over to high-NA EUV later, or as you said High-NA EUV will be in 2025 but with the next node.
 

SiliconFly

Golden Member
Mar 10, 2023
1,924
1,284
106
It's an exciting CPU if:

1) tGPU has great performance and gets within 25% of RDNA3 iGPU's perf

2) RWC and CM cores bring at least 10% performance uplift at the same clockspeed

3) These cores consume 15% less power at iso frequency

4) Memory latency is not worse than Raptor Lake or RPL-R

5) The cache on the I/O die rivals the performance benefit of V-cache
1) Even though a high performing tGPU will make the MTL CPU exciting, a good tGPU or iGPU is never a good metric for CPU sales. The general public doesn't care much about integrated GPU performance. Only gamers.

2) Not much MTL IPC increase is expected. Thats why high end parts are RPL refresh. Looks like they're trying to win with ppw this generation.

(3) The power efficiency increase for productivity workloads is expected to be around 30% or more.

(4) MTL memory latency is definitely not inferior to RPL.

(5) ADM cache on MTL is not meant for MTL tCPU and will never compete directly with AMD 3D-Vcache which is L3. It's tied directly to MTL's tGPU. MTL's tGPU might even compete directly with RTX 3050 due to ADM cache performance boost.
 
Last edited:

SiliconFly

Golden Member
Mar 10, 2023
1,924
1,284
106
It really only comes down to catching up / surpassing TSMC. If they reach parity or surpass TSMC then the CPU performance/watt problem solves itself.
They're not only reaching parity but surpassing TSMC N3 in Q3 2024 with Intel 20A. Even though it sounds too good to be true, Intel 20A test chips have already been taped out and Intel Arrow Lake on 20A is well on track too with higher PPA than TSMC N3. It's gonna be a killer product with industry leading tech like gaafets & power vias. Something even TSMC won't have till 2026!!!!!! And thats no small feat!

Intel takes the lead next year both in CPUs & process nodes. Sadly, AMD & TSMC are taking the back seat starting Q3 2024.

A side note: Both Arrow Lake & Zen 5 are coming out in Q3 2024. And Zen 5 desktop & laptop cpus are based on the outdated tsmc 4nm node & Intel Arrow Lake cpus are based on the new cutting-edge Intel 2nm node. Arrow Lake is more than a node ahead of Zen 5! It's gonna be a bloodbath! Zen 5 will look like Rocket Lake when compared to Arrow Lake!
 
Last edited:

ondma

Diamond Member
Mar 18, 2018
3,308
1,692
136
They're not only reaching parity but surpassing TSMC N3 in Q3 2024 with Intel 20A. Even though it sounds too good to be true, Intel 20A test chips have already taped out and Intel Arrow Lake on 20A is well on track too with higher PPA than TSMC N3. It's gonna be a killer product with industry leading tech like gaafets & power vias. Something even TSMC won't have till 2026!!!!!! And thats no small feat!

Intel takes the lead next year. Sadly, AMD & TSMC are taking the back seat starting Q3 2024.
Hope you are right, but I wish I could share your optimism. Only time will tell, but that is a very ambitious cadence, not something Intel has pulled off successfully recently. I am troubled by the RL refresh too. It is too reminiscent of Skylake and all its refreshes and makes me wonder is they are on track.
 
Jul 27, 2020
28,051
19,149
146
(5) ADM cache on MTL is not meant for MTL tCPU and will never compete directly with AMD 3D-Vcache which is L3. It's tied directly to MTL's tGPU. MTL's tGPU might even compete directly with RTX 3050 due to ADM cache performance boost.
I wonder if some clever game engine developer (Epic?, makers of UE5) could masquerade useful and much needed data into the ADM cache as offscreen textures. Would be a lot of work and only useful if it gives a big boost and they expect the ADM to become a permanent fixture of future Intel mobile CPUs.
 

SiliconFly

Golden Member
Mar 10, 2023
1,924
1,284
106
I wonder if some clever game engine developer (Epic?, makers of UE5) could masquerade useful and much needed data into the ADM cache as offscreen textures. Would be a lot of work and only useful if it gives a big boost and they expect the ADM to become a permanent fixture of future Intel mobile CPUs.
it's still not clear whether MTL's base tile is an active interposer with ADM or a separate stacked layer on top of a passive base tile. But either way, it's a expensive option and ADM will only appear on select models cos a passive base tile without any ADM is lot lot cheaper.

And since ADM is tied directly with the tGPU as L3, it'll significantly reduce bandwidth latency thereby increasing tGPU performance. The tGPU in a top-end SKU should be on par with a RTX 3050 at best. Not that it matters considering majority of the genpop don't care about GPU performance.
 

SiliconFly

Golden Member
Mar 10, 2023
1,924
1,284
106
Hope you are right, but I wish I could share your optimism. Only time will tell, but that is a very ambitious cadence, not something Intel has pulled off successfully recently. I am troubled by the RL refresh too. It is too reminiscent of Skylake and all its refreshes and makes me wonder is they are on track.
Even Intel is troubled by RPL refresh too! :joycat:
 

H433x0n

Golden Member
Mar 15, 2023
1,224
1,606
106
They're not only reaching parity but surpassing TSMC N3 in Q3 2024 with Intel 20A. Even though it sounds too good to be true, Intel 20A test chips have already been taped out and Intel Arrow Lake on 20A is well on track too with higher PPA than TSMC N3. It's gonna be a killer product with industry leading tech like gaafets & power vias. Something even TSMC won't have till 2026!!!!!! And thats no small feat!

Intel takes the lead next year both in CPUs & process nodes. Sadly, AMD & TSMC are taking the back seat starting Q3 2024.

A side note for AMD fanboys: Both Arrow Lake & Zen 5 are coming out in Q3 2024. And Zen 5 desktop & laptop cpus are based on the outdated tsmc 4nm node & Intel Arrow Lake cpus are based on the new cutting-edge Intel 2nm node. Arrow Lake is more than a node ahead of Zen 5! It's gonna be a bloodbath! Zen 5 will look like Rocket Lake when compared to Arrow Lake!
I can't tell if this is sarcasm but I agree on Intel reaching parity with TSMC is more likely than not. I would argue they're really only ~6 months TSMC on FinFet as of today, although their PDK is so far behind that FinFet in general is sort of a lost cause. They actually have sampled their 18A node to potential customers, so I'm not sure what that means in the grand scheme of things. Presumably it means there is enough of a PDK available to produce some sample wafers to determine performance characteristics.
 

Doug S

Diamond Member
Feb 8, 2020
3,579
6,319
136
Even if Intel can get 20A and 18A out on that aggressive schedule, what yields are they going to get? How many wpm can they run?

Samsung has been keeping pace or beating TSMC if you read their announcements, but their latest nodes are reportedly yielding terribly and they aren't producing that many so it doesn't count for much in the real world.

I'll believe Intel has turned the corner and taken the lead when there are credible rumors Apple is looking at having them make A19 in 2025 or something like that. If all they can do is push out certain high revenue SKUs of Intel CPUs but the bulk of their production remains on older nodes it will clear what is really going on.
 

SiliconFly

Golden Member
Mar 10, 2023
1,924
1,284
106
I can't tell if this is sarcasm but I agree on Intel reaching parity with TSMC is more likely than not. I would argue they're really only ~6 months TSMC on FinFet as of today, although their PDK is so far behind that FinFet in general is sort of a lost cause. They actually have sampled their 18A node to potential customers, so I'm not sure what that means in the grand scheme of things. Presumably it means there is enough of a PDK available to produce some sample wafers to determine performance characteristics.
The generally overlooked fact is, Intel 4 & 20A are purpose-built slim nodes for very specific products. Compared to fat full-stack nodes like Intel 7, 18A, TSMC N3, N2, etc. Actually, these node comparisons may not even be reasonable because i feel we shouldn't even classify a slim node as a proper node. They're apples and oranges. For example, the amount of investment that goes in 20A pales in comparison to N2.

18A PDK 0.5 was released months back to IFS customers. Gives them a head start. But then again, TSMC has a large customer base (something Intel doesn't). So, when TSMC N2 makes a ton of money on release in 2026, Intel 18A may not... even though it's two years early with cutting edge tech like bpd & gaa. It's just business.

20A & 18A may not make a much money for Intel. But the products Intel make using 20A & 18A should definitely be cutting edge (assuming yields). And considering intel 4 & 20A are purpose-built with very specific HP libraries, the chances of them succeeding are pretty high too.

And IFS is just Intel trying to de-risk its fat nodes Intel 3 & 18A. And it takes many many years to succeed.
 
Last edited:

SiliconFly

Golden Member
Mar 10, 2023
1,924
1,284
106
Even if Intel can get 20A and 18A out on that aggressive schedule, what yields are they going to get? How many wpm can they run?

Samsung has been keeping pace or beating TSMC if you read their announcements, but their latest nodes are reportedly yielding terribly and they aren't producing that many so it doesn't count for much in the real world.

I'll believe Intel has turned the corner and taken the lead when there are credible rumors Apple is looking at having them make A19 in 2025 or something like that. If all they can do is push out certain high revenue SKUs of Intel CPUs but the bulk of their production remains on older nodes it will clear what is really going on.
IFS is many years away. My wild guess would be more than a decade at least. Intel needs a miracle to take on a IFS customer like Apple in 2025. I believe 2030 sounds more reasonable at best. Just my opinion.

Many actually miss this interesting fact. The biggest IFS customer for Intel is Intel itself. 20A & 18A may not make much money for Intel from foundry business, but if Intel manages to make good products with these and capture market share + make a decent profit, that should be good enough.
 
Last edited:

H433x0n

Golden Member
Mar 15, 2023
1,224
1,606
106
The generally overlooked fact is, Intel 4 & 20A are purpose-built slim nodes for very specific products. Compared to fat full-stack nodes like Intel 7, 18A, TSMC N3, N2, etc. Actually, these node comparisons may not even be reasonable because i feel we shouldn't even classify a slim node as a proper node. They're apples and oranges. For example, the amount of investment that goes in 20A pales in comparison to N2.

18A PDK 0.5 was released months back to IFS customers. Gives them a head start. But then again, TSMC has a large customer base (something Intel doesn't). So, when TSMC N2 makes a ton of money on release in 2026, Intel 18A may not... even though it's two years early with cutting edge tech like bpd & gaa. It's just business.

20A & 18A may not make a much money for Intel. But the products Intel make using 20A & 18A should definitely be cutting edge (assuming yields). And considering intel 4 & 20A are purpose-built with very specific HP libraries, the chances of them succeeding are pretty good too.

IFS is just Intel trying to de-risk its fat nodes Intel 3 & 18A. And it takes many many years to succeed.
I think they could pull off better volume than Samsung’s current business with the transition to GAAFET assuming they execute as planned. For example, I could see Nvidia dual sourcing some of their wafers.

TSMC isn’t a realistic target for the foreseeable future. Intel themselves proclaim their goal is to be the 2nd largest foundry by 2030, which I don’t think is an impossible goal. Their main issue is that they can’t be cost competitive and I don’t see how they’ll ever be able to compete on price against fabs in Taiwan and South Korea.
 

Exist50

Platinum Member
Aug 18, 2016
2,452
3,106
136
Intel 4 is in excellent shape according to both Intel and certain industry insiders. Some have claimed yields are even better than the Intel 7 variants.
Where have you seen that claim? I doubt yields are better than Intel 7 before they've even started proper volume production.
It really only comes down to catching up / surpassing TSMC. If they reach parity or surpass TSMC then the CPU performance/watt problem solves itself.
Intel still has big design issues they need to solve, especially around power efficiency and battery life. A competitive node helps, but isn't sufficient. Notice how their battery life figures actually got worse TGL->ADL. They have a lot of work to do on the core side for loaded perf/watt, and then on the SoC side for battery life.
(4) MTL memory latency is definitely not inferior to RPL.
I expect memory latency to be one of MTL's great weaknesses. AFAIK, one of their main architects in that area left the company midway through MTL. And that's even ignoring any die to die penalty.
Intel Arrow Lake on 20A is well on track too with higher PPA than TSMC N3
We'll see. Intel seems to view those nodes as pretty comparable, if they've mixing them across the product line.
 

H433x0n

Golden Member
Mar 15, 2023
1,224
1,606
106
Intel still has big design issues they need to solve, especially around power efficiency and battery life. A competitive node helps, but isn't sufficient. Notice how their battery life figures actually got worse TGL->ADL. They have a lot of work to do on the core side for loaded perf/watt, and then on the SoC side for battery life.

We'll see. Intel seems to view those nodes as pretty comparable, if they've mixing them across the product line.
I still think you’re underestimating the effect the node has on perf/watt. The same core (Raptor Cove vs Golden Cove) had a 15% perf/watt jump on mobile products by just moving to Intel 7 Ultra.

You really don’t think MTL isn’t going to outperform Phoenix on perf/watt? How far ahead do you believe Phoenix is right now with respect to battery life?

Edit: There is no public literature on 18A as far as I know. Based off of what we know about Intel 4, for Intel 18A to be comparable to N3P, it’d have to have the same performance characteristics as Intel 3.
 
Last edited:

Exist50

Platinum Member
Aug 18, 2016
2,452
3,106
136
I still think you’re underestimating the effect the node has on perf/watt. The same core (Raptor Cove vs Golden Cove) had a 15% perf/watt jump on mobile products by just moving to Intel 7 Ultra.
Raptor Lake wasn't just process improvements. And generally speaking, process improvements can get you double digit percent changes. Very significant, sure, but not enough
You really don’t think MTL isn’t going to outperform Phoenix on perf/watt? How far ahead do you believe Phoenix is right now with respect to battery life?
At least under load, I expect Phoenix to beat out Meteor Lake in perf/watt. To what degree, I'm not going to guess. Battery life might be more interesting, as that's much more about SoC-level power management. Historically, that has been an advantage for Intel vs AMD, and a horrible disadvantage vs Apple and Qualcomm. However, AMD's been making huge improvements there, so tbd.
Edit: There is no public literature on 18A as far as I know. Based off of what we know about Intel 4, for Intel 18A to be comparable to N3P, it’d have to have the same performance characteristics as Intel 3.
Intel 4/3 should be more like TSMC N5/N4 class competitors. There's a reason Intel's using N3 for Arrow Lake and Lunar Lake instead of Intel 3.
 
  • Like
Reactions: Tlh97 and moinmoin