Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 144 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
677
559
106
PPT1.jpg
PPT2.jpg
PPT3.jpg



As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake

INTEL-CORE-100-ULTRA-METEOR-LAKE-OFFCIAL-SLIDE-2.jpg

As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

Clockspeed.png
 

Attachments

  • PantherLake.png
    PantherLake.png
    283.5 KB · Views: 23,967
  • LNL.png
    LNL.png
    881.8 KB · Views: 25,439
Last edited:

dullard

Elite Member
May 21, 2001
25,107
3,473
126
Yeah but MTL already looks like a poor product with the LNL leak. Jump from 6400 to 8533 MT/s RAM is reason enough to wait one more year.
Need to know timing, pricing, availability, and more importantly: core counts needed. Sure, if you are looking for a fanless or otherwise low power computer, MTL-U is going to be overshadowed by LNL-MX. But, if you need a lot of cores for large multi-threaded applications then LNL is not the type of product for you. In that situation, a 16 core MTL-H with at least 45 W of power will do far more than an 8 core LNL-MX with far less power available to it.
 

Thibsie

Senior member
Apr 25, 2017
759
814
136
More BW and capacity on the higher end SKUs than Apple M3. Same CPU config - 4P+4E as the M3. If Apple stagnates on P-core gen on gen performance improvements, and Intel gains momentum with Lunar Lake MX and its followers, Apple is gonna regret going the ARM route.
No way they will regret a thing. They no longer depend on intel and control their CPU too now. When you want a closed system, that's exactly what you want to do.
 

tamz_msc

Diamond Member
Jan 5, 2017
3,825
3,654
136
No way they will regret a thing. They no longer depend on intel and control their CPU too now. When you want a closed system, that's exactly what you want to do.
I mean when others have reached parity in perf/watt. I know that despite the marketing, Apple's real aim was vertical integration.
 
Jul 27, 2020
16,630
10,610
106
I don't see why Apple can't have two MacOS builds, one for ARM and one for x86. Intel for MBA and their own SoC for MBP.

What if there's an LNL prototype on their campus right this instant? :p
 

Saylick

Diamond Member
Sep 10, 2012
3,209
6,556
136
I was about to make a joke, but then I decided to check Videocardz and the joke had become reality:
View attachment 89080
Haha, I've always wondered. If one were the only remaining source of leaked slides and then put an obvious and legible water mark that said "*insert your favorite rumor mill site* can suck my ...", would they go ahead and write an article using those slides?
 

Thunder 57

Platinum Member
Aug 19, 2007
2,692
3,840
136
Arrow Lake CPU tile is 20A. Granite Rapids is 3nm. Lunar Lake is obviously catered to premium ultrabooks that compete with Macs, so it will be low volume. So the most important products are still on Intel nodes.

And I don't think that 5 nodes in 4 years means Intel will have lead products in segments for each of those nodes. The plan is to regain process leadership.

So by going with N3B they are going to regain process leadership? That doesn't make any sense.

Also, why are they sitching their SoC CPU cores already? Guess they didn't work out as planned.
 
  • Like
Reactions: Joe NYC

Geddagod

Golden Member
Dec 28, 2021
1,159
1,033
106
So by going with N3B they are going to regain process leadership? That doesn't make any sense.

Also, why are they sitching their SoC CPU cores already? Guess they didn't work out as planned.
I'm guessing SOC CPU cores will remain on mainstream mobile parts as long as the SOC, CPU, and GPU tiles are split there. So MTL and ARL.
 

TESKATLIPOKA

Platinum Member
May 1, 2020
2,369
2,861
136
True. However, what would be interesting is if the LNL-MX's newer iGPU can beat the MTL iGPU due to higher available bandwidth.
8533 MT/s offers 33% higher BW, but that alone means nothing.
You need to know the performance of both IGPs and If they are bottlenecked or not.
The amount of Xe is the same.
IGP's architectural gain is unknown.
LNL with 8Xe will be clocked at 1850MHz, that's not particularly high for a 30W chip.
For example, 7840U at 25W manages 1833MHz in Witcher, but has less execution units(768 vs 1024).

It will be interesting to compare It to Strix Point limited to 30W, which will have a comparable 16CU(1024FP32) IGP.
 
  • Like
Reactions: Joe NYC

H433x0n

Senior member
Mar 15, 2023
915
984
96
So by going with N3B they are going to regain process leadership? That doesn't make any sense.

Also, why are they sitching their SoC CPU cores already? Guess they didn't work out as planned.
AMD is using Samsung to fabricate Sonoma Valley - does this mean TSMC is screwed and Samsung has process tech leadership? AMD is one of their biggest customers and previously used TSMC exclusively.
 
Last edited:

adroc_thurston

Platinum Member
Jul 2, 2023
2,421
3,408
96
does this mean TSMC is screwed and Samsung has process tech leadership?
For cost-sensitive markets? yea, TSMC gotta step up now that their utilization rates ain't sky-high anymore, especially on N7.
The plan is to try to convince Wall Street that they will regain process leadership.
That's the narrative, in reality Intel wants to offer foundry services as a future node cost amortization pipeline.
 

Tigerick

Senior member
Apr 1, 2022
677
559
106
Sonoma Valley is just a low-end SoC replacing 7020 Zen2 APU with most likely having 4 x Zen5c to compete with Intel N-series. The real challenge for AMD is how much TSMC's allocation of N2 process AMD will be getting ...hopefully Intel will get their own node in time with Intel Next process.
AMD is using Samsung to fabricate Sonoma Valley - does this mean TSMC is screwed and Samsung has process tech leadership? AMD is one of their biggest customers and used previously TSMC exclusively.
 

Thunder 57

Platinum Member
Aug 19, 2007
2,692
3,840
136
I was pointing out the tortured logic and trying to bring some nuance - I wasn’t actually serious.

Tortued logic? More like crap comparison. There is nothing wrong with dual sourcing. They can push out more products that way. They probably got a decent deal from Samsung too. They could very well use SS for lower end CPU's, APU's, or GPU's. That would leave TSMC for the higher margin stuff. If Intel 20A or 18A was any good and on time, they would use those. Instead, Intel is using N3B. That's not a good look.

Tortued logic is you thinking I believe TSMC is screwed and SS has the best nodes. AMD has used multiple fabs before. They have used GloFo and TSMC at the same time for different products. I believe they even used SS a bit as well.
 

H433x0n

Senior member
Mar 15, 2023
915
984
96
Tortued logic? More like crap comparison. There is nothing wrong with dual sourcing. They can push out more products that way. They probably got a decent deal from Samsung too. They could very well use SS for lower end CPU's, APU's, or GPU's. That would leave TSMC for the higher margin stuff.
Okay.. I'm with you so far
If Intel 20A or 18A was any good and on time, they would use those. Instead, Intel is using N3B. That's not a good look.
Should they hold off another 6-9 months on Lunar Lake so it can be fab'd on 18A for better PR and internet points or launch their mobile processor on a very efficient TSMC N3 node? It seems you're suggesting the former.
Tortued logic is you thinking I believe TSMC is screwed and SS has the best nodes. AMD has used multiple fabs before. They have used GloFo and TSMC at the same time for different products. I believe they even used SS a bit as well.
I don't think that at all.