Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 71 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
676
556
106
PPT1.jpg
PPT2.jpg
PPT3.jpg



As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake

INTEL-CORE-100-ULTRA-METEOR-LAKE-OFFCIAL-SLIDE-2.jpg

As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

Clockspeed.png
 

Attachments

  • PantherLake.png
    PantherLake.png
    283.5 KB · Views: 23,964
  • LNL.png
    LNL.png
    881.8 KB · Views: 25,435
Last edited:

dullard

Elite Member
May 21, 2001
25,091
3,448
126
I'll eat crow after arguing here repeatedly that Meteor Lake desktop existed.

It makes business sense to jump right to Arrow Lake desktop if any of the following are true:
1) Meteor Lake-S is worse performing than planned
2) Meteor Lake-S will be delayed more than planned (either due to difficulty in manufacturing or due to laptop chips using their limited production capacity).
3) Arrow Lake-S is better performing than planned
or
4) Arrow Lake-S can be released earlier than planned.

As it was, their stated goals were to have 3 desktop chips in about 12 months (Meteor Lake H2 2023, Arrow Lake H1 2024, Lunar Lake H2 2024). That did seem unlikely to be a good business plan. Why would anyone buy one desktop chip when new ones were coming soon?
 
  • Like
Reactions: DAPUNISHER

dullard

Elite Member
May 21, 2001
25,091
3,448
126
That's mobile AFAIK. Do you have any source claiming it will have a desktop version?
You are probably correct. I've been out of the following technology for 6 months. The closest to Lunar Lake on desktop that I've seen is that it might be put on the same LGA1851 socket. Even if that is true, it doesn't make that a proper full desktop chip though. But still, launching Meteor Lake-S then Arrow Lake-S immediately afterwards was not a great plan.
 
Last edited:

H433x0n

Senior member
Mar 15, 2023
899
970
96
It's probably due to Intel 4/3 having problems that Intel just hasn't admitted to just yet.
I get where this sentiment is coming from but there's no evidence for this. It’s been reported to be the exact opposite from Intel themselves. The foundry side is ahead of the design team's ability to produce a disaggregated CPU that meets Intel's standards for reliability and power usage.

Going from 14nm to 10nm was actually a more difficult jump than advancing from existing Intel 7 to Intel 4. I would say The 14nm to 10nm jump was significantly more difficult actually.

There’s a bunch of reasons for it but a few big ones are that Intel 4 isn’t even a complete library, it’s just the compute die. There are less masks involved in the process and they modified / reduced Cobalt in M0 & M1 (underrated how big of a difference this makes).

I would personally take them at their word about the health of Intel 4 / 3 but I guess we’ll find out next quarter if MTL launches.
 

Hitman928

Diamond Member
Apr 15, 2012
5,340
8,108
136
First Benchmark of Intel Ultra 7..

View attachment 80979
Link to results:


And a comparison entry to a previous Intel system, not sure how fair a comparison it is as this system has discrete graphics and I don’t know if this test utilizes GPU acceleration.

 
  • Like
Reactions: inf64

Geddagod

Golden Member
Dec 28, 2021
1,159
1,033
106
They kept working on Sapphire Rapids based HEDT knowing very well its a mediocre product at best.
SPR is prob the least competitive product Intel launched recently for CPUs, since server is so bad at hitting timelines. The thing is though, Intel redefines products all the time to try to remain competitive. The SPR they 'kept on working on' got redefined a ton, just like GNR did when it moved from 2023 to 2024.
And also, you prob know you said something fanatic when Markfw likes your comment lol ;)
 

mikk

Diamond Member
May 15, 2012
4,150
2,162
136
Intel certainly hasn't released QS samples yet, so that absolutely is an ES, maybe not even ES2. Chill with the hysterics.


Non QS ES usually get a generic 0000 CPU ID. Performance numbers from leaks like this are meaningless anways, they could have disabled Turbo and so on.
 

eek2121

Platinum Member
Aug 2, 2005
2,930
4,027
136
ES CPUs dont have Release names. That Ultra 7 is going to be DOA thanks to Zen4 Low power APUs
Whatever you are smoking, remind me to avoid it.

Also, for non-QS and even QS CPUs, Intel has been known to lock those parts to base clocks or below to prevent the competition from knowing performance characteristics prior to release. Speed binning also happens much later in the development process.
 

JoeRambo

Golden Member
Jun 13, 2013
1,814
2,105
136
Intel 4 is in excellent shape according to both Intel and certain industry insiders. Some have claimed yields are even better than the Intel 7 variants.

Isn't that obvious and logical? Intel painted themselves into the corner with that whole SAQP and cobalt disaster and even after all relaxation over the years it is probably very hard to yield.

Intel 4 is using EUV equipment for those critical layers instead of SAQP, does not take a rocket scientist to understand that yields will be excellent ( since we already know TSMC can yield real good on EUV processes with similar transistor metrics ).

The real question are:

1) How many EUV machines Intel has for what product mix and volume.
2) What compromises with process still have to be made due to (1) and their impact on yield and performance
3) The stuff beyond Intel 4, is where things get interesting. They are currently in 'we are undoing what accounting/marketing idiots inflicted on us by not ordering EUV machines and by betting the farm on SAQP" mode, let's see if they can move on to "back to competing for process leadership" mode.
 
  • Like
Reactions: igor_kavinski

A///

Diamond Member
Feb 24, 2017
4,352
3,154
136
They kept working on Sapphire Rapids based HEDT knowing very well its a mediocre product at best.
not if you need the memory channels and io capabilities. tr pro is useless for most people who need this and can give up some performance and take the power up their kiester.
 

A///

Diamond Member
Feb 24, 2017
4,352
3,154
136
my interpretation of mtl s being cancelled is they would prefer to not waste wafer capacity if it'll be a low seller where mobile is their most important market outside of the dc. the desktop and diy space will get arl s and that will have the improvements mtl was gonna bring and more on top.

there has not been news of intel having issues with their newer nodes and any theory is full of tripe based on very old issues. people forget how bad tsmc was at one point. in a few years people will quickly forget samsung's issues if their gaa blossoms and they get their affairs in order. it's easy to kick intel in the bullocks until they turn black and blue.
 

eek2121

Platinum Member
Aug 2, 2005
2,930
4,027
136
Isn't that obvious and logical? Intel painted themselves into the corner with that whole SAQP and cobalt disaster and even after all relaxation over the years it is probably very hard to yield.

Intel 4 is using EUV equipment for those critical layers instead of SAQP, does not take a rocket scientist to understand that yields will be excellent ( since we already know TSMC can yield real good on EUV processes with similar transistor metrics ).

The real question are:

1) How many EUV machines Intel has for what product mix and volume.
2) What compromises with process still have to be made due to (1) and their impact on yield and performance
3) The stuff beyond Intel 4, is where things get interesting. They are currently in 'we are undoing what accounting/marketing idiots inflicted on us by not ordering EUV machines and by betting the farm on SAQP" mode, let's see if they can move on to "back to competing for process leadership" mode.
Funny because I was going to add the part about EUV.

Intel has mentioned it has ample EUV capacity, they even provided specific numbers at one point, though some here don’t believe them. They have enough that they can use it for Intel 18a if needed. I suspect most of it is reserved for IFS. I also suspect Intel is in negotiations with a few large (and potentially surprising) potential customers, hence why we aren’t seeing much about Intel 4/3. Said customers would need to see that capacity is available before moving forward on any deal.

There are a lot of folks here that are pessimistic about Intel and rightfully so. Intel made severe missteps in the past and due to the nature of the business it takes years to recover. Because of this, it can seem like Intel is not recovering when they actually (supposedly) are.

To put things into perspective AMD began work on Zen 1 in 2012-2013 and the part did not launch until 2017. That is for a single architecture. I think 2025 Intel will look very different from 2023 Intel.

Time will tell, of course.

I eagerly await meteor lake because it should give us a sneak peak of upcoming perf/watt improvements, even if the chip itself isn’t any faster than Raptor Lake.
 
  • Like
Reactions: controlflow
Jul 27, 2020
16,483
10,508
106
I eagerly await meteor lake because it should give us a sneak peak of upcoming perf/watt improvements, even if the chip itself isn’t any faster than Raptor Lake.
It's an exciting CPU if:

1) tGPU has great performance and gets within 25% of RDNA3 iGPU's perf

2) RWC and CM cores bring at least 10% performance uplift at the same clockspeed

3) These cores consume 15% less power at iso frequency

4) Memory latency is not worse than Raptor Lake or RPL-R

5) The cache on the I/O die rivals the performance benefit of V-cache
 

dullard

Elite Member
May 21, 2001
25,091
3,448
126
ES CPUs dont have Release names. That Ultra 7 is going to be DOA thanks to Zen4 Low power APUs
If that Meteor Lake chip was near final release, then it would be DOA just due to the 4 year old 8th generation Whiskey lake i7-8665U that ties it in the comparison above.

Not only are frequencies not listed, but even more importantly power is not listed. Making any conclusion without that data is useless. Going with the extremes: 534.5 PugentBench Lightroom Classic points at 125 W is far, far, far different than 534.5 PugentBench Lightroom Classic points at 5 W. And we don't know where on the spectrum that benchmark was run.