ASML claims major EUV milestone : A 250W EUV source.

May 11, 2008
19,303
1,130
126

7nm gen 2 and 3, here we come :

http://www.eetimes.com/document.asp?doc_id=1332012&

SAN FRANCISCO—It has taken far longer and cost far more than nearly anyone would have predicted, but the semiconductor industry finally appears close to moving extreme ultraviolet (EUV) lithography into high volume production.

At the Semicon West tradeshow here this week, lithography vendor ASML announced it had achieved an important and long-elusive milestone: the demonstration of a 250-watt EUV source. Source power—a measurement of the amount of EUV photons delivered to the scanner to enable wafer exposure—equates directly to productivity. Chipmakers have long insisted that source power of 250 watts would be required to achieve throughput of 125 wafers per hour (WPH), and the inability of ASML and Cymer (which ASML acquired in 2013) to push the technology to hit that mark has been considered the primary roadblock for EUV development in recent years.

Michael Lercel, director of strategic marketing at ASML, said the company has demonstrated 250 watts “rather consistently by really understanding the conversion efficiency in the source and putting the right controls in place.” He said the source that has demonstrated 250 watts has not yet shipped.

Leading edge chipmakers including Intel, Samsung, TSMC and Globalfoundries are planning to insert EUV into high-volume production sometime in the next two years. ASML demonstrated back in February throughput of 104 WPH and executives said even before the 250 watt source power was demonstrated that the company had a roadmap to get to 125 WPH.

The 250 watt source power milestone represents an improvement of 10 fold over the past five years from about 25 watts in 2012. Delivering a presentation on the economics of EUV for production, Lercel joked that when he worked at Cymer in the early part this decade the goal for reaching 250 watts of source power “was always next year.”

ASML has 14 development tools already in the field which have now exposed more than 1 million wafers, including more than 500,000 wafers in just the past 12 months, according to Lercel. The first shipments of ASML’s NXE:3400B production EUV tool began earlier this year.

As of April, ASML had a backlog of 21 EUV systems awaiting delivery, the majority of which are reportedly ticketed for Intel. The company is expected to provide an update of its EUV backlog when it announces its second quarter results next week.

EUV, the development of which can be traced back to the 1970s with the ill-fated development effort of X-ray lithography. The semiconductor industry was originally hoping to use EUV in production early this decade, but development has slipped continually. By some estimates, the industry has spent more than $20 billion on the development of EUV.

Despite ASML’s progress, critics will continue to skepticism toward EUV. “Detractors keep saying it’s never going to happen, but ASML keeps hitting its targets,” said G. Dan Hutcheson, a veteran semiconductor equipment analyst and president of VLSI Research Inc. “It sure has taken a long time, but we finally seem to be getting somewhere.”

In addition to the source power milestone, Lercel also detailed significant improvements on the overlay performance of EUV tools and industry progress on the infrastructure for EUV, including reticles, pellicles and photoresists.

Lercel’s presentation largely focused on the economic value to customers of EUV, an ironic subject given that the cost of the tools—over $100 million each—have been one of the biggest knocks on EUV. But ASML argues that EUV—when it achieves its 125 WPH throughput target—offers an economic benefit compared to the expensive of triple- or quadruple-patterning using immersion lithography tools.

“If you look at the cost of doing multiple immersion lithography steps, coupled with the process steps—the cleaning, the metrology—we believe that EUV is less costly per layer versus triple patterning immersion, and certainly quadruple patterning and beyond,” Lercel said. He added that EUV also offers the economic benefit of faster cycle times, fewer variables and fewer chances for random defects on wafers.

“We believe that EUV is a very cost-effective way to enable the affordable scaling of lithography in the future,” Lercel said. “We have made significant progress on enabling the performance of [EUV] systems to be able to match those expectations, particularly given that the imaging is as expected, the overlay is at least as good as the immersion tools and productivity is now over 100 wafers an hour. That really has enabled the point where we started to go into the point of shipping high volume manufacturing tools out to customers now.”

ASML’s source power milestone announcement comes less than two weeks after the passing of Natale Ceglio, a scientist whose pioneering work in x-ray lasers and EUV was critical to early development of the technology. Ceglio died on July 2.

—Dylan McGrath is the editor-in-chief of EE Times.



Will be good news for the topics in this thread:
https://forums.anandtech.com/thread...infet-process-and-fx-7-asic-platform.2508687/

And this article.
http://www.anandtech.com/show/11558...nm-plans-three-generations-700-mm-hvm-in-2018
 
Last edited:

krumme

Diamond Member
Oct 9, 2009
5,952
1,585
136
Great news
It took so long time the funny thing is 125w is a viable solution today. 250w might not even be important going forward with the cost going berserk for the rest of the chain. Crazy "next year" took so long. Samsung was dead set on a 10nm mid node insertion. Lol.
 
  • Like
Reactions: Hilario

raghu78

Diamond Member
Aug 23, 2012
4,093
1,475
136
ASML Q2 2017 earnings presentation

https://staticwww.asml.com/doclib/i...s/2017/asml_20170719_presentation_Q2_2017.pdf

EUV related highlights slide 16 and 17

All EUV key performance specifications demonstrated
Stable configuration enables accelerated availability improvements going forward
Customers show commitment to insert EUV in volume manufacturing by ordering systems
ASML commits to securing system performance, shipments and support required for volume manufacturing

• 8 additional NXE:3400B system orders received in Q2, with 6 orders from one customer for use in both Logic & DRAM
• 3 NXE:3400B systems shipped in Q2
• Backlog now includes 27 EUV systems
• Further system orders are expected in 2017 for 2018/2019 shipments

For volume manufacturing of logic and memory, ASML remains committed to deliver:
• Throughput of >125 wafers per hour
• Availability of >90% on average
• Shipments on time in sufficient volume
• Ability to support a growing installed base

My guess is the customer to use EUV in both logic and DRAM who has ordered 6 NXE 3400B in Q2 2017 is Samsung.
 
May 11, 2008
19,303
1,130
126
I would not know. But at more than 100 million dollar a piece, i wonder if glofo will have enough money to buy a few of those NXE:3400B units.


https://www.asml.com/products/systems/twinscan-nxe/twinscan-nxe3400b/en/s46772?dfp_product_id=10850



xray.jpg

xray.jpg

The TWINSCAN NXE:3400B will support EUV volume production at the 7 and 5 nm nodes. Combining productivity, excellent image resolution, matched overlay to EUV NXE and ArFi NXT tools and focus performance, the TWINSCAN NXE:3400B provides lithography capability complementary to ASML’s ArFi technology.

Improvements on the EUV source industrialization, overlay and focus enable a robust solution for cost effective volume production from 2018/2019 onwards.

The NXE Step-and-Scan systems use 13.5 nm EUV light, generated by a tin-based plasma source. The systems feature all-reflective 4x reduction lens assemblies from Carl Zeiss SMT with a maximum exposure field of 26 mm by 33 mm.

The NXE:3400B will be equipped with projection optics with NA 0.33 and a new illuminator with operating range sigma 0.06 - 1 to maintain high productivity while enabling low-k1 and a resolution of 13 nm.

In-situ measurement and corrections per wafer of the optics and stages enable maximum imaging performance of overlay and CDU for each wafer exposed when imaging at low-k1.
 

Ajay

Lifer
Jan 8, 2001
15,332
7,792
136
Great news
It took so long time the funny thing is 125w is a viable solution today. 250w might not even be important going forward with the cost going berserk for the rest of the chain. Crazy "next year" took so long. Samsung was dead set on a 10nm mid node insertion. Lol.

2x the production rate is a big deal. I don't see any named device, like NXE:3400C, so it's a prototype.
What part of the supply chain is going berserk?
 

scannall

Golden Member
Jan 1, 2012
1,944
1,638
136
Great news
It took so long time the funny thing is 125w is a viable solution today. 250w might not even be important going forward with the cost going berserk for the rest of the chain. Crazy "next year" took so long. Samsung was dead set on a 10nm mid node insertion. Lol.
A 100 million dollar plus piece of equipment, running at half capacity is a disaster. The amount of time it will have high value is time limited, by newer nodes, materials etc.
 

krumme

Diamond Member
Oct 9, 2009
5,952
1,585
136
2x the production rate is a big deal. I don't see any named device, like NXE:3400C, so it's a prototype.
What part of the supply chain is going berserk?
Yeaa obviously 2x production rate is extremely vital but the alternative to 125w is i understand far longer longer cycle times at 7nm. Variability. Yield issues.
That way euv also have impact on ttm i presume. Big issue especially if mobile is the frontrunner.
I mean we are at 125w now and its getting used as such for some parts what else could be the reason?
 

krumme

Diamond Member
Oct 9, 2009
5,952
1,585
136
May 11, 2008
19,303
1,130
126
Isnt equipment like 33% of the cost? Rest is labor.
Add its a known fixed cost. So unlike the rest its low risk investment.
So it seems expensive but from a management perspective its easy to handle i guess?

I have no idea to be honest.
It makes sense what you write for me.
 

Ajay

Lifer
Jan 8, 2001
15,332
7,792
136
Yeaa obviously 2x production rate is extremely vital but the alternative to 125w is i understand far longer longer cycle times at 7nm. Variability. Yield issues.
That way euv also have impact on ttm i presume. Big issue especially if mobile is the frontrunner.
I mean we are at 125w now and its getting used as such for some parts what else could be the reason?

So, I made a couple of mistakes. 100% more light ~= 40% more light per mm^2. Secondly, exposure times are only part of the process. There are etching times, deposition times, etc. IIRC, current 193nm ArF lasers expose a wafer to around 400 W. So the boost in watts is an important jump but doesn't double wafer output averages per month.

EUV will definitely make sub '7nm' processes less costly! It will also provide an opportunity to improve pure fabs 7nm process nodes - improving the nodes and reducing cycle time. I'm not sure of Intel's plans for EUV.
 
  • Like
Reactions: krumme

Ajay

Lifer
Jan 8, 2001
15,332
7,792
136
As soon as foundry leverage 7nm on EUV, it will likely strike the gold. It's 28nm all over again.

https://semiengineering.com/7nm-last-major-node/

Good summary style article. I don't think EUV is like striking Gold, hence the various techniques being explored according to the article. Every part of the semiconductor manufacturing stream becomes a challenge as companies drive ever closer single number nanometer scales. @NostaSeronx article on challenges in polymer photo-resist helps illustrate this.
 

Hilario

Junior Member
Jul 31, 2017
1
0
1
ASML Q2 2017 earnings presentation
EUV related highlights slide 16 and 17

All EUV key performance specifications demonstrated
Stable configuration enables accelerated availability improvements going forward
Customers show commitment to insert EUV in volume manufacturing by ordering Power Adapter systems
ASML commits to securing system performance, shipments and support required for volume manufacturing

• 8 additional NXE:3400B system orders received in Q2, with 6 orders from one customer for use in both Logic & DRAM
• 3 NXE:3400B systems shipped in Q2
• Backlog now includes 27 EUV systems
• Further system orders are expected in 2017 for 2018/2019 shipments

For volume manufacturing of logic and memory, ASML remains committed to deliver:
• Throughput of >125 wafers per hour
• Availability of >90% on average
• Shipments on time in sufficient volume
• Ability to support a growing installed base

My guess is the customer to use EUV in both logic and DRAM who has ordered 6 NXE 3400B in Q2 2017 is Samsung.

It really make sense,and the volume manufacturing of logic and memory is fine.