[SA] Intel guts 10nm to get it out the door

Page 2 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

NTMBK

Lifer
Nov 14, 2011
10,232
5,013
136
Intel will remain insanely rich for years to come. They have more fab capacity than the entire world combined, even if AMD keeps a clear lead (Which isn't a sure thing), Intel will still have a huge market simply because they're the only ones that can meet demand.

It would take at minimum 5 years for even a giant like TSMC to prepare enough capacity to fight it out with Intel.

Yup, this. Intel is massive, has massive piles of money to throw at problem, and a lot of fat that they can cut. All those dumb side projects, like drones, acquiring antivirus companies, three different incompatible non-competitive AI offerings, and useless x86 IOT boards? Those can be cut, and that money instead poured into the core business. Those enormous profit margins on their server CPUs? Those can be cut, and fuel a massive price war with AMD. That super-aggressive user hostile market segmentation, with a different SKU for every single niche? That can be cut, to make their products more appealing in the face of strong competition.

We haven't seen Intel hungry in a long time. It's going to be very interesting to watch.
 

ZGR

Platinum Member
Oct 26, 2012
2,052
656
136
10 cores@3.0 ghz for $1700 ? I will take the new 2990wx with 32 cores@3.4 ghz for $100 more.

I hope prices fall for it. Not happening it seems.
Ebay prices are pretty decent, but idk how beat up a used 6950x will be.
 

Olikan

Platinum Member
Sep 23, 2011
2,023
275
126
Intel is still playing around with its 10nm design rules?

Iirc, just by the new validation time alone, it will take more than 12 months
 
Last edited:

turtile

Senior member
Aug 19, 2014
614
294
136
Yup, this. Intel is massive, has massive piles of money to throw at problem, and a lot of fat that they can cut. All those dumb side projects, like drones, acquiring antivirus companies, three different incompatible non-competitive AI offerings, and useless x86 IOT boards? Those can be cut, and that money instead poured into the core business. Those enormous profit margins on their server CPUs? Those can be cut, and fuel a massive price war with AMD. That super-aggressive user hostile market segmentation, with a different SKU for every single niche? That can be cut, to make their products more appealing in the face of strong competition.

We haven't seen Intel hungry in a long time. It's going to be very interesting to watch.

Intel will only cut pricing as a last resort. Price cuts will hurt their margins more than AMD taking market share. I think they will only start cutting if AMD gets 15-20% share and they have nothing to stop them.
 

TheELF

Diamond Member
Dec 22, 2012
3,973
730
126
Yup, this. Intel is massive, has massive piles of money to throw at problem, and a lot of fat that they can cut. All those dumb side projects, like drones, acquiring antivirus companies, three different incompatible non-competitive AI offerings, and useless x86 IOT boards? Those can be cut, and that money instead poured into the core business. Those enormous profit margins on their server CPUs? Those can be cut, and fuel a massive price war with AMD. That super-aggressive user hostile market segmentation, with a different SKU for every single niche? That can be cut, to make their products more appealing in the face of strong competition.

We haven't seen Intel hungry in a long time. It's going to be very interesting to watch.
Owning patents on AI and drones and other stuff are things that make a lot of money,especially patents on AI ,just imagine they will get a cut on every self driving car/drone whatever.
I wouldn't be surprised if intel makes more money from licencing patents then AMD makes in general.

There is no strong competition,for huge-amount-of-cores-applications (DC) the industry prefers compatibility and support over good pricing and for single or few cores intel's performance is just much better.
The only strong competition is for bargain buyers that would prefer to buy a 10 pound sack of potatoes while hungry on the road because a portion of french fries is just a much worse VFM for someone who is starving and on the go.
 

jpiniero

Lifer
Oct 1, 2010
14,584
5,206
136
There is no strong competition,for huge-amount-of-cores-applications (DC) the industry prefers compatibility and support over good pricing and for single or few cores intel's performance is just much better.
The only strong competition is for bargain buyers that would prefer to buy a 10 pound sack of potatoes while hungry on the road because a portion of french fries is just a much worse VFM for someone who is starving and on the go.

Cloud providers are going to love Rome. Intel's going to have to pull some kind of nefarious scheme for the Cloud providers to not cut back on buying Intel significantly until Sapphire Rapids ships at the very least. And that's really where the biggest growth in the data center is. We're talking two years.
 

moonbogg

Lifer
Jan 8, 2011
10,635
3,095
136

i9 9900K will be faster for 1/3 the price. I realize you are joking, and that's fine. I'm always in the mood for a good joke, no matter how dirty, filthy, offensive, insulting, embarrassing, crooked and evil the joke may be, and suggesting a 6950X to anyone is certainly all of those things. Come to think of it, you must really hate me for some reason to suggest I buy a 6950X. There is only one person on earth who hates me more than you, and that's another user on this forum (who I won't mention) who also suggested I buy a 6950X when it was still relevant and at full retail price. No idea what I could have done to deserve that. Its like I ate their pet goldfish or something.
 
Mar 11, 2004
23,073
5,552
146
Yup, this. Intel is massive, has massive piles of money to throw at problem, and a lot of fat that they can cut. All those dumb side projects, like drones, acquiring antivirus companies, three different incompatible non-competitive AI offerings, and useless x86 IOT boards? Those can be cut, and that money instead poured into the core business. Those enormous profit margins on their server CPUs? Those can be cut, and fuel a massive price war with AMD. That super-aggressive user hostile market segmentation, with a different SKU for every single niche? That can be cut, to make their products more appealing in the face of strong competition.

We haven't seen Intel hungry in a long time. It's going to be very interesting to watch.

Absolutely Intel can trim a lot and refocus on their core stuff like AMD did. But, they're absolutely not doing that. They're pouring money into developing their own GPU, they're pouring money into storage developments, they're pouring money into cellular modems, they're pouring money into developing a new packaging of chips, they're pouring money into a lot of other places. That isn't going to change, even if they find someone that realizes its good to have an actual plan and to work to execute it instead of just throwing money at any and all computing/tech ideas. That stuff is all already draining their resources, with so far little to really show for it (except for SSD storage, I was more pointing to Optane/3D Xpoint), and they've had a history of failure in most of those areas in the past, which used to be fine, but now they're facing the most intense competition in their core business they've ever faced (strong AMD, strong alternative in ARM, and GPUs, and other specialized chips are starting to take over servers).

Even Jim Keller was apparently brought in to be very forward looking and figure out the next phase of server stuff, which maybe that is just aligning a lot of their development (CPU, GPU, storage, and networking definitely will be integral to that), but it gave me more of a "figure out what direction to take servers in" because Intel doesn't really have a plan there, and while they can follow similar steps that AMD did, that's only going to take things so far (which is true for AMD as well), so Intel isn't really looking to get lean and mean (quite the opposite, I think they're looking at how to maintain their 800lb gorilla position).

Intel's ability to overcome the physics of developing semiconductor production processes is causing them problems (it is the whole industry, but Intel went from having a very clear advantage that couldn't be overcome simply by smart chip design, to having all the same problems the rest of the industry is, while the rest of the industry has also been upping their capital investment in those competing manufacturing companies).

It definitely will be interesting, but I think you're grossly overestimating if you think that Intel could get lean and mean quickly, or that they have any interest in doing that. I'm seeing the total opposite. I am seeing that Intel realizes that they've been unfocused and languished even their core markets and its opened things for competitors. But they're also actively seeking out markets with heavy hitting incumbents that act like Intel has acted in their core business, and its not going to be easy to overcome that. On top of that, some of their best customers/partners are making moves that has to make Intel wonder what that means for them (Microsoft moving to get full Windows support on ARM, Apple designing their own chips which is causing more companies to start looking to ARM for even laptops). Its going to be a challenge and that's if they can ditch the mentality that they've far too often resorted to (paying companies to use their stuff instead of competitors).

I'm actually trying to think of any time that Intel has faced comparable competition. Maybe the early 90s when there were lots of competing stuff for workstations and most specialized markets (game consoles, etc), while Intel was busy carving out Windows as its dominant platform. They lost out on that similar situation to ARM (and Apple) with Android and now even Windows is moving to more serious ARM support. They're dominant in servers, but that's a changing market. Laptops look like they're moving towards ARM (not that it'll be overnight, and Intel should still do well for years there, assuming they don't get setback worse than the rest of the industry in process tech). We'll see how their new GPU fares, but they're up against strong competition there. Their cellular modems I have a hunch will be more about buoying the x86-64 market (meaning this way it doesn't give ARM chips a clear advantage in networking), or maybe they'll look into pairing servers with network infrastructure for things that need lower latency or would alleviate network issues elsewhere.

Don't get me wrong, I'm not saying doom and gloom for Intel at all. I'm just pointing out that they're facing a very different competitive landscape, and that Intel doesn't seem to have any intention of going lean and mean while doing so. I think the biggest challenge will be that Intel is going to be facing a lot more hostile shareholders too as they deal with these problems, so will the business side of things enable their engineering teams to leverage their technology as best as they can.
 

maddie

Diamond Member
Jul 18, 2010
4,738
4,667
136
Absolutely Intel can trim a lot and refocus on their core stuff like AMD did. But, they're absolutely not doing that. They're pouring money into developing their own GPU, they're pouring money into storage developments, they're pouring money into cellular modems, they're pouring money into developing a new packaging of chips, they're pouring money into a lot of other places. That isn't going to change, even if they find someone that realizes its good to have an actual plan and to work to execute it instead of just throwing money at any and all computing/tech ideas. That stuff is all already draining their resources, with so far little to really show for it (except for SSD storage, I was more pointing to Optane/3D Xpoint), and they've had a history of failure in most of those areas in the past, which used to be fine, but now they're facing the most intense competition in their core business they've ever faced (strong AMD, strong alternative in ARM, and GPUs, and other specialized chips are starting to take over servers).

Even Jim Keller was apparently brought in to be very forward looking and figure out the next phase of server stuff, which maybe that is just aligning a lot of their development (CPU, GPU, storage, and networking definitely will be integral to that), but it gave me more of a "figure out what direction to take servers in" because Intel doesn't really have a plan there, and while they can follow similar steps that AMD did, that's only going to take things so far (which is true for AMD as well), so Intel isn't really looking to get lean and mean (quite the opposite, I think they're looking at how to maintain their 800lb gorilla position).

Intel's ability to overcome the physics of developing semiconductor production processes is causing them problems (it is the whole industry, but Intel went from having a very clear advantage that couldn't be overcome simply by smart chip design, to having all the same problems the rest of the industry is, while the rest of the industry has also been upping their capital investment in those competing manufacturing companies).

It definitely will be interesting, but I think you're grossly overestimating if you think that Intel could get lean and mean quickly, or that they have any interest in doing that. I'm seeing the total opposite. I am seeing that Intel realizes that they've been unfocused and languished even their core markets and its opened things for competitors. But they're also actively seeking out markets with heavy hitting incumbents that act like Intel has acted in their core business, and its not going to be easy to overcome that. On top of that, some of their best customers/partners are making moves that has to make Intel wonder what that means for them (Microsoft moving to get full Windows support on ARM, Apple designing their own chips which is causing more companies to start looking to ARM for even laptops). Its going to be a challenge and that's if they can ditch the mentality that they've far too often resorted to (paying companies to use their stuff instead of competitors).

I'm actually trying to think of any time that Intel has faced comparable competition. Maybe the early 90s when there were lots of competing stuff for workstations and most specialized markets (game consoles, etc), while Intel was busy carving out Windows as its dominant platform. They lost out on that similar situation to ARM (and Apple) with Android and now even Windows is moving to more serious ARM support. They're dominant in servers, but that's a changing market. Laptops look like they're moving towards ARM (not that it'll be overnight, and Intel should still do well for years there, assuming they don't get setback worse than the rest of the industry in process tech). We'll see how their new GPU fares, but they're up against strong competition there. Their cellular modems I have a hunch will be more about buoying the x86-64 market (meaning this way it doesn't give ARM chips a clear advantage in networking), or maybe they'll look into pairing servers with network infrastructure for things that need lower latency or would alleviate network issues elsewhere.

Don't get me wrong, I'm not saying doom and gloom for Intel at all. I'm just pointing out that they're facing a very different competitive landscape, and that Intel doesn't seem to have any intention of going lean and mean while doing so. I think the biggest challenge will be that Intel is going to be facing a lot more hostile shareholders too as they deal with these problems, so will the business side of things enable their engineering teams to leverage their technology as best as they can.
Good clear thinking here. The easier lower cost access to the highest technologies, both from the development and production sides remove a lot of the barriers for smaller more nimble competitors to challenge them.

With regards to becoming leaner, how many times have we seen executives and workers in any company willingly choose to be downsized. The internal culture is the biggest barrier baring an external event or force.

What AMD went through was forced on them and it only happened finally when facing the brink.
 

slashy16

Member
Mar 24, 2017
151
59
71
Don't get me wrong, I'm not saying doom and gloom for Intel at all. I'm just pointing out that they're facing a very different competitive landscape, and that Intel doesn't seem to have any intention of going lean and meanwhile doing so. I think the biggest challenge will be that Intel is going to be facing a lot more hostile shareholders too as they deal with these problems, so will the business side of things enable their engineering teams to leverage their technology as best as they can.

The writing has been on the wall for years that CPUs are becoming the least important part of the PC/Server purchase. I know in my experience Memory, Storage and GPU have all been more important than what CPU is in the machine. I know later when I go to buy a Dell or HP server it doesn't matter if there is an Intel or AMD CPU the price comes out to be similar in the end. The important bits now are if there is a GPU(tesla) and what kind of SSDs and memory is in the server. Note Intel is competing in these new areas and revolutionizing the market. If you open up a server today you will most likely see an Intel SSD, Intel Flash card, Intel network card, Intel CPU and soon Intel memory and Intel GPU. I don't think we will see Intel do what they did 10 years ago with their tick tock strategy, back then the desktop CPU market was a vital part of their business which is no longer true today. All that being said their processors are significantly faster than AMD on a core for core basis. Intel is 700mhz+ faster with their current process and that could be extended with 14nm+++. Even if Intel manages to bumble 10nm more than it has I'm willing to bet a weak 10nm or 10nm+ will still be competitive with AMD at 7nm.
Intel has it's own the fabs and they are able to tweak their process to squeeze every last ounce of performance out of their design. At the end of the day, I believe Intel is more concerned with Nvidia than anything else.
 
  • Like
Reactions: pcp7

dark zero

Platinum Member
Jun 2, 2015
2,655
138
106
Yup, this. Intel is massive, has massive piles of money to throw at problem, and a lot of fat that they can cut. All those dumb side projects, like drones, acquiring antivirus companies, three different incompatible non-competitive AI offerings, and useless x86 IOT boards? Those can be cut, and that money instead poured into the core business. Those enormous profit margins on their server CPUs? Those can be cut, and fuel a massive price war with AMD. That super-aggressive user hostile market segmentation, with a different SKU for every single niche? That can be cut, to make their products more appealing in the face of strong competition.

We haven't seen Intel hungry in a long time. It's going to be very interesting to watch.
Atom is the biggest issue for them now than Core M is there... they should sell it and goes as light as possible.

BTW, they know that taking the mobile market is impossible now due the lack of optimization on the apps.
 

french toast

Senior member
Feb 22, 2017
988
825
136
Atom is the biggest issue for them now than Core M is there... they should sell it and goes as light as possible.

BTW, they know that taking the mobile market is impossible now due the lack of optimization on the apps.
Mobile is impossible because intel can't make competitive hardware, full stop. Even their modems are behind Qualcomm and Huawei, their GPU is terrible, their CPU cores won't ever compete with ARM big/little, they don't have the other IP either.
They can't compete on process.
Software just compounds the problem, sure they could use off the shelf ip to make up the difference, such as DSPs, GPU, ISP, AI chip, even use outside foundry, but would eat into their margins and the CPU and modem still wouldn't be competitive.
 
  • Like
Reactions: ZGR and Lodix

urvile

Golden Member
Aug 3, 2017
1,575
474
96
Owning patents on AI and drones and other stuff are things that make a lot of money,especially patents on AI ,just imagine they will get a cut on every self driving car/drone whatever.
I wouldn't be surprised if intel makes more money from licencing patents then AMD makes in general.

There is no strong competition,for huge-amount-of-cores-applications (DC) the industry prefers compatibility and support over good pricing and for single or few cores intel's performance is just much better.
The only strong competition is for bargain buyers that would prefer to buy a 10 pound sack of potatoes while hungry on the road because a portion of french fries is just a much worse VFM for someone who is starving and on the go.

How do you patent AI?
 

TheELF

Diamond Member
Dec 22, 2012
3,973
730
126
How do you patent AI?
You don't patent AI,you patent code (or circuits or whatever) that different AI solutions will have to use to work,why do you think we have 4 different voice recognition systems right now? The one that will prevail will be use in any tech you will talk to in the future and will make more money then god will be able to count.
 

Spartak

Senior member
Jul 4, 2015
353
266
136
One really wonders why Intel chose a m1 pitch of 36nm without EUV. All the other foundries either chose 40nm as a MMP without EUV (TSMC/GF) or 36 with EUV (Samsung).

It all boils down to whether Intel was able to fix their quad patterning or decided to relax the m1 pitch to 40nm. Something tells me that if they fixed their quad patterning issues Krzanich would probably still be in place. However, well informed experts at SemiWiki insist the MMP is still 36nm.
 

wahdangun

Golden Member
Feb 3, 2011
1,007
148
106
It was an interesting listen, but as a warning to others, that guy's voice is so mellow and monotone that I nodded out super hard and almost slammed my head into my desk. And this is quite a strong desk, so that was dangerous.

To be honest, I'm missing that Kanter guy in here.
 
  • Like
Reactions: french toast

french toast

Senior member
Feb 22, 2017
988
825
136
One really wonders why Intel chose a m1 pitch of 36nm without EUV. All the other foundries either chose 40nm as a MMP without EUV (TSMC/GF) or 36 with EUV (Samsung).

It all boils down to whether Intel was able to fix their quad patterning or decided to relax the m1 pitch to 40nm. Something tells me that if they fixed their quad patterning issues Krzanich would probably still be in place. However, well informed experts at SemiWiki insist the MMP is still 36nm.
I can't find the article..but I read some time ago that other foundries decided that you needed EUV to get to 36nm pitch...that would also neccesitate the use of more cobalt..vastly complicating and increasing the difficulty of the process.
Intel chose the wrong direction, if I can find the article I will link it.
 

PeterScott

Platinum Member
Jul 7, 2017
2,605
1,540
136
I can't find the article..but I read some time ago that other foundries decided that you needed EUV to get to 36nm pitch...that would also neccesitate the use of more cobalt..vastly complicating and increasing the difficulty of the process.
Intel chose the wrong direction, if I can find the article I will link it.

EUV equipment is not even fully available today, so it wasn't remotely under consideration when Intel first started trying to get it's "10nm" process running. Intel didn't make a choice, because there was no choice to make.

In that Kanter interview I linked above, he says that everyone is doing multi-Patterning without EUV for the first attempt at the next jump (Intel 10nm/TSMC 7nm/GF 7nm) though IIRC TSMC and/or GF stated they will add EUV later to their 7nm process.

The problem is that EUV has been the unicorn that will save them for years, but the equipment is still not quite ready for EUV at this time, so it keeps getting pushed out.
 

french toast

Senior member
Feb 22, 2017
988
825
136
EUV equipment is not even fully available today, so it wasn't remotely under consideration when Intel first started trying to get it's "10nm" process running. Intel didn't make a choice, because there was no choice to make.

In that Kanter interview I linked above, he says that everyone is doing multi-Patterning without EUV for the first attempt at the next jump (Intel 10nm/TSMC 7nm/GF 7nm) though IIRC TSMC and/or GF stated they will add EUV later to their 7nm process.

The problem is that EUV has been the unicorn that will save them for years, but the equipment is still not quite ready for EUV at this time, so it keeps getting pushed out.
Your missing the point, Intel was too adventurous with 10nm, the other foundries figured out that to go lower than 40nm minimum metal pitch they would need to use EUV and more cobalt...so apart from Samsung who is using EUV for some layers, the other two foundries tsmc and glofo deliberately stayed at 40nm metal pitch and just used cobalt sparingly.
Intel went ahead and got super agressive on the process and got it very wrong...it reminds me of Lockheed Martin and the F35 project...they jumped before they could walk trying to save time, in the end the short cut cost them much more in time and money.
If I could find the article I will post it, I can barely remember all the details.

Edit; I think this is the article:
https://www.semiwiki.com/forum/content/7433-intel-10nm-yield-issues.html

Basically below 40nm metal pitch copper resistance is too much, so cobalt is necessary for interconnects, also multipatterning becomes much more complex and tricky, so EUV is desirable..hence why Samsung went with EUV with their 36nm metal pitch...and the others played safe with 40nm pitch + less cobalt.
Adding to the difficulty is the fact Intel may be using a problematic cobalt plating technique..which the other foundries don't use.
I also read somewhere intel has integrated a few other features that add to the complexity...one feature that improves density by changing the structure somehow...shortening it?.. can't remember.

Anyway, Intel got too ambitious and got it wrong.

Edit 2; Intel increased density with it's ' hyperscaling' techniques...which included removing a dummy gate..improving density by 20%...also contact over active gate adds to the improvements...whilst presumably adding to the difficulty.
https://semimd.com/chipworks/2017/12/18/iedm-2017-intels-10nm-platform-process/
Intel-10-5.png
 
Last edited:
  • Like
Reactions: lightmanek

Spartak

Senior member
Jul 4, 2015
353
266
136
I can't find the article..but I read some time ago that other foundries decided that you needed EUV to get to 36nm pitch...that would also neccesitate the use of more cobalt..vastly complicating and increasing the difficulty of the process.
Intel chose the wrong direction, if I can find the article I will link it.

yes I read both articles. From the 11 metal pitches only one is below 40 and needing quad patterning, The n0 pitch is also done with quad patterning which has the folks over at semiwiki a bit stumped. Probably for better alignment with n1. Anyway one really wonders why jump to SAQP for just one pitch. Maybe its an important pitch in order to hit a performance metric? no idea why Intel took that gamble without EUV.
 
  • Like
Reactions: french toast