- Dec 25, 2013
- 3,899
- 193
- 106
I created this topic as a general packaging topic, but I will kick things of with EMIB.
Discussion
So I just had a discussion with Ian Cutress on Twitter, but things got heated up a bit lol. So just like EMIB removes the reticle size constraints, I hope this threads removes the character size constraints of Twitter.
The discussion started after Wikichip's latest packaging article: https://fuse.wikichip.org/news/2446/tsmc-demonstrates-a-7nm-arm-based-chiplet-design-for-hpc/
I asked a question about something in the article concerning EMIB's bump pitch, which it turns out I had misunderstood, but I also reasonably stated that Agilex was disclosed to use EMIB 2, which was slated to reduce the bump pitch from 55um to 35um, one-upping TSMC's 40um. (Later on, I also said that we're not really comparison apples to apples, since EMIB isn't an interposer.)
However, I got the surprising response that Agilex "doesn't exist", changing the discussion to a discussion about "when can you say something is real", since a product undergoes multiple stages from research to production (and clearly, Agilex is in initial production, proving that EMIB 2 is real).
However, while I was accused of "moving the goalposts", I was instead redirected to an earlier discussion, where Ian Cutress said he wanted to see "high-powered" dies connected to each other (I am not sure why that was suddenly relevant, so I simply pointed out that Agilex is quite high-powered already, and can be paired with custom silicon such as eASIC's), and also called in question the relevancy of that question.
So a discussion/question for clarification about bump pitches moved into a discussion about what sort of dies EMIB can connect, with Ian asking for proof for that. I 'cleverly' pointed out that Intel can't proof that since such products weren't on the roadmap for 2019.
---
So summing up the discussion:
Naveen confirming EMIB/Foveros coming to Nervana:
https://twitter.com/NaveenGRao/status/1142596206775930880
I also asked for a Packaging Demonstration Day:
https://twitter.com/witeken/status/1142747213359132672
Discussion
So I just had a discussion with Ian Cutress on Twitter, but things got heated up a bit lol. So just like EMIB removes the reticle size constraints, I hope this threads removes the character size constraints of Twitter.
The discussion started after Wikichip's latest packaging article: https://fuse.wikichip.org/news/2446/tsmc-demonstrates-a-7nm-arm-based-chiplet-design-for-hpc/
I asked a question about something in the article concerning EMIB's bump pitch, which it turns out I had misunderstood, but I also reasonably stated that Agilex was disclosed to use EMIB 2, which was slated to reduce the bump pitch from 55um to 35um, one-upping TSMC's 40um. (Later on, I also said that we're not really comparison apples to apples, since EMIB isn't an interposer.)
However, I got the surprising response that Agilex "doesn't exist", changing the discussion to a discussion about "when can you say something is real", since a product undergoes multiple stages from research to production (and clearly, Agilex is in initial production, proving that EMIB 2 is real).
However, while I was accused of "moving the goalposts", I was instead redirected to an earlier discussion, where Ian Cutress said he wanted to see "high-powered" dies connected to each other (I am not sure why that was suddenly relevant, so I simply pointed out that Agilex is quite high-powered already, and can be paired with custom silicon such as eASIC's), and also called in question the relevancy of that question.
So a discussion/question for clarification about bump pitches moved into a discussion about what sort of dies EMIB can connect, with Ian asking for proof for that. I 'cleverly' pointed out that Intel can't proof that since such products weren't on the roadmap for 2019.
---
So summing up the discussion:
- Technical comparison of EMIB vs. CoWoS, including bump pitches, cost, advantages of EMIB over interposer, etc.
- EMIB (2) in Agilex
- What dies can EMIB connect? Proof of high-powered dies?
- EMIB shipping in Stratix 10 and Kaby Lake-G for years now
- EMIB can have >20k bumps at 2Gbs, delivering well over 4TB/s; while CoWoS is 8Gbps, the disadvantage of higher rate is more complicated I/O circuits, EMIB uses simple I/O circuits
- EMIB 2 (first seen in Agilex) reduces bump pitch from 55um to 35um, and 10um in the lab (note: 45um was shown on slide in Investor Meeting, not sure which EMIB version that referred to...)
- Foveros = 36um bump pitch (in production with Lakefield by end of the year)
- CoWoS = 40um bump pitch
- Foveros, Intel's active interposer technology for 3D stacking, can handle up to 1kW power delivery
- Power consumption: PCIe = 20pJ/bit, Infinity Fabric/package = 2pJ/bit die-to-die, CoWoS = 0.56pJ/bit, EMIB = 0.30pJ/bit, Foveros = 0.15pJ/bit, on-die = 0.1pJ/bit
- EMIB connections shipping: FGPA-Transceiver, FPGA-HBM, GPU-HBM
- Also possible: Logic, ASIC, eASIC, RF, etc.
- Intel claimed: splitting Xeon (= "high-powered") dies on 10nm++, Agilex can handle all sorts of chiplets including custom compute, Naveen Rao also came in and confirmed usage with Nervana
- EMIB rumored: Arctic Sounds to use 2-4 GPU chiplets
- EMIB leaked: Rocket Lake to use 14nm and 10nm graphics chiplets
- Intel showed on Powerpoint (quote: David Schor):
"Compared to the single integrated circuit on the left, the product on the right consists of many xPUs on different process technologies optimized for their specific use-case. Those chips are then integrated onto a single package using 2D and 3D integration technologies such as EMIB and Foveros. For Intel, this means new technologies and capabilities can be developed independently and intercepted much earlier. Up to 2 years earlier according to Renduchintala. He added that compared to multi-chip packaging, “Foveros enables up to 10x increase in interconnect bandwidth while reducing the interconnect power by 6x at the same time.” One interesting remark made by Renduchintala is that this approach will allow Intel to selectively outsource various IPs that do help with product differentiation.
It’s difficult to know how much of the illustration shown is real and how much creative freedom was involved. If we were to scrutinize the drawing a little, we can see 4 chiplets sitting on some kind of interposer along with what appears to be four SRAM chips and another chip. There are four HBM stacks connected to each of those interposers. In total, there are four of those interposers interconnected using EMIBs for a total of 16 xPUs, 16 SRAM chips, 4 unknown chips, and 16 HBM stacks."
Naveen confirming EMIB/Foveros coming to Nervana:
https://twitter.com/NaveenGRao/status/1142596206775930880
I also asked for a Packaging Demonstration Day:
https://twitter.com/witeken/status/1142747213359132672
Last edited: