Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel)

Page 37 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,590
5,722
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.

1587737990547.png
N7 performance is more or less understood.
1587739093721.png

This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.


1587739615344.png

Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

Doug S

Platinum Member
Feb 8, 2020
2,201
3,405
136
May still happen again.


Of course it isn't the end of the world. It however is an interesting development that happens surprisingly early imo and may end off adding up over time. I get the impression some parts of the industry approach new technological "breakthroughs" as steps that make manufacturing easier from that point onward but they only turn out being harder and more complex with further development. In that sense double and quad pattering can become the lesser of two evils and get used again.

It is only "surprising early" when you ignore how much increase in NA happened over the life of 193nm DUV, going so far as to use immersion.

If the same amount of increase was possible for 13nm EUV we'll have another two decades of process improvements from successively higher NA flavors of EUV. Though I suspect we'd likely have to achieve it differently, perhaps using metamaterials.
 
Jul 27, 2020
15,743
9,810
106
  • Like
Reactions: Vattila

Saylick

Diamond Member
Sep 10, 2012
3,084
6,184
136

Welp, looks like Intel is silo'ing off their foundry side more and more so that their internal design teams are on equal footing with external customers. Not saying it will result in a spin-off of Intel Foundries, but this would be a necessary step if that is the goal.
 
  • Like
Reactions: Tlh97 and Vattila

moinmoin

Diamond Member
Jun 1, 2017
4,933
7,619
136

Welp, looks like Intel is silo'ing off their foundry side more and more so that their internal design teams are on equal footing with external customers. Not saying it will result in a spin-off of Intel Foundries, but this would be a necessary step if that is the goal.
It's a necessary step for state funding and shared ownership as well. Doesn't mean foundries are going to be actually independent, but some degree of spinning-off is a legal necessity there.
 

jpiniero

Lifer
Oct 1, 2010
14,509
5,159
136
It's a necessary step for state funding and shared ownership as well. Doesn't mean foundries are going to be actually independent, but some degree of spinning-off is a legal necessity there.

It's just the reality that Intel's not going to be able to afford to continue with future nodes the way things are going with the Data Center business. Transitioning now makes spinning off or selling the foundries much less disruptive to the business as a whole.
 
  • Like
Reactions: Tlh97 and moinmoin

NTMBK

Lifer
Nov 14, 2011
10,208
4,940
136

Welp, looks like Intel is silo'ing off their foundry side more and more so that their internal design teams are on equal footing with external customers. Not saying it will result in a spin-off of Intel Foundries, but this would be a necessary step if that is the goal.

I think it's more likely that they spin off their x86 business. Intel is first and foremost a chip manufacturer- remember they used to make memory, before they pivoted to microprocessors.
 

moinmoin

Diamond Member
Jun 1, 2017
4,933
7,619
136
Not sure if it's actually worth talking about, but for last month while reporting +36.4% revenue y-o-y TSMC also mentioned -4.5% m-o-m:
 

Kocicak

Senior member
Jan 17, 2019
982
973
136
....

Pat Gelsinger said:
Implementing an internal foundry model means establishing consistent processes, systems and guardrails between our business unit, design and manufacturing teams. This will allow us to identify and address structural inefficiencies that exist in our current model by driving accountability and costs back to decision-makers in real time. It will also put Intel’s product groups on a similar footing as external Intel Foundry Services customers and vice versa.

For example, our business unit and design teams will be able to consider the potential impact on their margins if they want to run an additional product stepping, while the manufacturing team will be able to assess requests based on actual costs and impact on factory output. This will give teams the tools and transparency they need to find the most effective and cost-efficient solutions before implementation in silicon, ultimately helping us maximize factory output, reduce costs and shorten design cycles.

Does this mean that an Intel division needing 20 different steppings to troubleshoot the product would go bankcrupt???
 

mikk

Diamond Member
May 15, 2012
4,111
2,105
136
Intel expects 20A will be primarily an internal node, basically saying 18A is the node for external foundry customers.


On Intel 20A and 18A, the first nodes to benefit from RibbonFET and PowerVia our first internal test chips, and those of a major potential foundry customer have taped out with silicon running in the fab.

We expect 20A will primarily be an internal node, not one that we have a lot of external foundry customers for the external foundry chipset or tape-outs are largely associated with 18A.

And a very typical process for a foundry customer will be "give me a test chip of my circuits on your process." and that's exactly what we take out. The first one this quarter. We'll have several more in the pipeline. So now we're taking out not only our test chips for 18A, but our foundry customer test chips for 18A, and that's a pretty critical milestone when they see the results of the silicon for them making a volume decision for a foundry customer.
 
  • Like
Reactions: Tlh97 and moinmoin

Doug S

Platinum Member
Feb 8, 2020
2,201
3,405
136
I wonder who the major "potential" customer being touted is. Qualcomm? Nvidia? Apple? Strongly doubt it would be AMD, I don't see them trusting a wall truly exists between Intel's foundry and their x86 business.

It is just a "potential" customer so I wouldn't be shocked if all three I listed above will have some 18A test chips run, so they can compare with TSMC. Intel isn't likely to be really considered by Apple, there's no way they could handle their volume, but that doesn't mean Apple won't be curious and wouldn't be willing to use those results as leverage with TSMC over pricing if Intel is actually able to deliver on their promises.
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
I wonder who the major "potential" customer being touted is. Qualcomm? Nvidia? Apple? Strongly doubt it would be AMD, I don't see them trusting a wall truly exists between Intel's foundry and their x86 business.

It is just a "potential" customer so I wouldn't be shocked if all three I listed above will have some 18A test chips run, so they can compare with TSMC. Intel isn't likely to be really considered by Apple, there's no way they could handle their volume, but that doesn't mean Apple won't be curious and wouldn't be willing to use those results as leverage with TSMC over pricing if Intel is actually able to deliver on their promises.
I think it would have to be Qualcomm, or maybe a chance of someone like Marvell, Broadcom, or maybe Nvidia. I think Apple's too closely tied to TSMC, and they probably won't even look at Intel until they're proven in the market. Besides, they don't need to tape out a test chip to use them for leverage.
 
  • Like
Reactions: Tlh97 and moinmoin

LightningZ71

Golden Member
Mar 10, 2017
1,627
1,898
136
Here's a thought: with the twitter noise about ARM changing their licensing terms for everyone, is it possible that we might see a joint effort on mobile SoCs from Intel/qualcomm?
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
Here's a thought: with the twitter noise about ARM changing their licensing terms for everyone, is it possible that we might see a joint effort on mobile SoCs from Intel/qualcomm?
I don't see how that's related. Qualcomm would use Intel as a fab if they're competitive, but what else would Intel have to offer them? If anything, they're competitors.
 

Doug S

Platinum Member
Feb 8, 2020
2,201
3,405
136
I think it would have to be Qualcomm, or maybe a chance of someone like Marvell, Broadcom, or maybe Nvidia. I think Apple's too closely tied to TSMC, and they probably won't even look at Intel until they're proven in the market. Besides, they don't need to tape out a test chip to use them for leverage.

I disagree, sure Apple is strongly tied to TSMC but there's no way they don't want to see what Intel has around the corner and compare to what they're getting from TSMC. They wouldn't switch for a 2% gain but 10% improvement in power or performance? They would strongly consider that.

Apple has other products they can move to a different foundry. Maybe M4 Pro/Max gets built on Intel, or the Watch SoCs, or their cellular modem. They don't have to do a wholesale switch, and don't have to move their most important product first.
 
  • Like
Reactions: Tlh97 and Vattila

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
I disagree, sure Apple is strongly tied to TSMC but there's no way they don't want to see what Intel has around the corner and compare to what they're getting from TSMC.
Oh I'm sure they're at least looking at what Intel has to offer, but a testchip is a pretty significant step beyond that, and I doubt Apple's realistically considering Intel at this point in time.
Apple has other products they can move to a different foundry. Maybe M4 Pro/Max gets built on Intel, or the Watch SoCs, or their cellular modem. They don't have to do a wholesale switch, and don't have to move their most important product first.
But for most of those things, it would require Apple to port a lot of IP to Intel's process. Unless Intel's basically giving away wafers, that's a ton of work for questionable gains. And if you don't trust the process, you really don't want to be designing high performance analog IP on it...

Maybe if we fast forward to '24-'25, and Intel's both delivered on 18A and has a promising roadmap beyond that, then Apple might start taking them seriously. But I think Apple's pretty much the least likely of all the major companies to use Intel, maybe only rivaled by AMD, and even then...
 

A///

Diamond Member
Feb 24, 2017
4,352
3,154
136
I used to be work for of the companies mentioned a few posts ago not nvidia. They are to my knowledge being graciously courted by a one Mr Gelsinger with a beautiful silk and rose corsage according to what I've heard from old work pals. Short of hanky panky period Gelsinger, Intel and IFS need to deliver on their promises and claims. The kicker would be if Intel began poaching tsmc engineers with a fasttrack promise to citizenship. There is more to it than that but our government can twist the rules if it means gaining a domestic foothold in chip production. TSMC only recently begna offering better pay for their engineers when smic began poaching theirs.
 

NostaSeronx

Diamond Member
Sep 18, 2011
3,683
1,218
136
On GlobalFoundries sphere, specifically leading edge of FDSOI...

12FDX Summer 2023
Tsi = 4.5nm(PROD/MPW=+0.5nm)
Tbox = 15nm
Low-Leakage/High-speed=84CPP/56 replacing LL/Low-speed=116CPP/80
High-Performance/Custom=64CPP/56 replacing HP=104CPP/80

Malta is first and Dresden is second to MPW 12FDX.

SOITEC source says April at earliest, while GlobalFoundries source says June at earliest.
Edit3: No joke, Electrical Shrink on Chip(SOITEC) and FD Express(GF) is suppose to be marketing buzzwards for Dolphin Integration-related and GF FD Labs-related sells.
Edit 4.5: FD Express is a boost to FDX Network for 22FDX(+) -> 12FDX and 12LP(+) -> 12FDX, while also having a more aggressive DTCO push.

Edit: Had to re-cover everything, 12FDX HP/Custom is going to cost more than GlobalFoundries' FinFETs.
Edit2: New customer options on leading edge = 12FDX HP (Most expensive) -> 12LP+ -> 12FDX LP -> 22FDX+ (Least expensive)

Edit5: Some weird stuff probably noted by me(speculation, where as the above is not) and just to iterate on the leading edge-ness of new 12FDX.

Original 12FDX:
12fdx.jpg
Minimum Lg=20nm
84CPP/56Mx/L=20
2018 Slides said 90% done

New 12FDX (LP):
2020 described: "It has a lot in common with our 12nm FinFET process so we are about 60 percent done. We are optimizing the process.”

Went from being finished to being reworked and being in common with the FinFETs. Original 12FDX as indicated above has a 20nm minimum gate length. While 12nm FinFET nodes had 14nm and 16nm channel length.
12lplengths.png
finfetchannels.png

Of which, SOITEC/Leti was doing 14nm channel lengths with sSOI wafers through 2012-2016. So, 2017+ appears to have implicated 12FDX into becoming a better node via in-development SOITEC/Leti breakthroughs.

Top image is 22FDX, middle image is 18FDS/14FDSOI, bottom image is the beginnings of the new 12FDX node.
fdsoilength.jpeg

Old 12FDX(84CPP/56Mx/min. L=20nm) => 2019-2020 production.
12FDX LP(84CPP/56Mx/min. L=14nm) => Near finished PDK by April 2023-June 2023
12FDX HP(64CPP/56Mx/min. L=14nm) => 0.1V risk production in 2023

The above appears a lot like 22FDX's eventual portfolio:
22FDX ULL(116CPP/80Mx/min. L=28nm) = Launch choice in 2016
22FDX LL(116CPP/80Mx/min. L=20nm) => Eventually appeared in 2018?
22FDX HP(104CPP/80Mx/min. L=20nm) => Launch choice in 2016

Hopefully, this somewhat explains the weirdness of the potential announcement 12FDX, and then "we also have a production products" in the 12FDX(Malta and Dresden) lines. I really want to iterate that there are products on 12FDX apparently being launched next year.

GF Es' CVs:
-12FDX Integration(2020+)
-12FDX Yield-up(2020+)
-12FDX Transfer(2022+) can only be Malta to Dresden
-12FDX Ramp-up(2022+)
-12FDX Award(2022+) <== At least 5 non-AMD-Qualcomm customers committed on the upcoming 12FDX node.
-12FDX+ Exploration <=== 2H2023 positions for a product in 2H2025. // Gate Length of 12nm is mentioned for these.

Also, after two-ish whole years of 12FDX not appearing on the roadmap, August 2022 it is back:
Screenshot 2022-11-01 at 11-40-38 GlobalFoundries CMD (08.10.2022)_website - Aug31.pdf.png

12FDX In-development 2018->2019
12FDX drought, I assume this is because it went back to R&D. 2020->~2022
12FDX is back on the roadmap, August 2022.
Of which, 12FDX April 2023 through June 2023 is the launch of 12FDX.
 
Last edited: