Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel)

Page 21 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,602
5,788
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.

1587737990547.png
N7 performance is more or less understood.
1587739093721.png

This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.


1587739615344.png

Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

eek2121

Platinum Member
Aug 2, 2005
2,930
4,026
136
What's your source for Intel being able to push out 110-120k wafers per month? Is that on a node that uses EUV? If so, how many layers? TSMC was reported at a production output of 55k-60k wafer starts per month back in 2021, and they planned on doubling that to serve all of their customers at the end of 2021. Are you telling me that Intel can somehow magically match TSMC's EUV output with a fraction of the EUV machines?
I apparently missed this. The Intel slides posted earlier in the thread gives us this info. Go look.

TSMC says N3 yield is good and they are sticking to the plan where they start the ramp at the end of the year. N3E is scheduled a year later but could get pulled in.

Alas they are saying 2025 for N2 ramp, maybe even 2H.
2025 for N2? IFS might be stealing customers from TSMC after all. Wouldn’t it be nuts if Intel lured Apple away?
 

Doug S

Platinum Member
Feb 8, 2020
2,260
3,512
136
I apparently missed this. The Intel slides posted earlier in the thread gives us this info. Go look.

2025 for N2? IFS might be stealing customers from TSMC after all. Wouldn’t it be nuts if Intel lured Apple away?

If they believe Intel's schedule, and if Intel's 20A and 18A are better than TSMC's N2. Other than Intel claims, which we all know are worthless, they have no reason to believe either.

No chance they lure Apple away with 20A/18A - that's a decision that gets made a MINIMUM of two years prior when they expect to be taking deliveries of mass production quantity. As in, they'd pretty much have to decide today to go with Intel based on a belief that Intel will be able to deliver 10-15 million A18s per month in summer 2024.

There's no chance Apple is going to risk massive delays and/or shortages on a product that represents half their revenue based on Intel's promises, unless Intel pulls a Jedi mind trick on them and makes them forget the whole 10nm debacle where for like three years Intel was claiming mass production shipments were less than a year away that never happened. Now they're supposed to believe Intel's claims about mass production two node generations away, using EUV which they currently aren't and using a new transistor type?

I'm sure some will take that risk, but zero chance Apple is one of them. If anything with stuff like M1 Ultra and future products they are even more locked in with TSMC. They'd need to re-develop their Ultra Fusion technology using Intel packaging, assuming it is even possible with Intel's current stuff.
 

A///

Diamond Member
Feb 24, 2017
4,352
3,154
136
@Doug S You're mistaken here. You're under the impression Intel can get those nodes running properly in the first place. You're talking about them claiming it'll be good as TSMC N2. Intel claims, as you said, are as good as the paper they're written on; worthless. I have no faith in Intel doing what they say they will. Gelsinger is a smart cookie, but he's a chatty Cathy as well. He talks a big game so far.

AFAIK, Samsung kicked their birdies out of the nest. Qualcomm and others will still fab something at Samsung but TSMC got the fat contracts, and I think there'll be some risk production @ Intel but again, this is assuming Intel can manage to get things running.

I think Alderlake is a good product but also falls short in some areas. If however Alderlake is Intel's product on "7nm SuperFin" AKA really a now mature 10nm process years in the making and severely delayed, then I'm not entirely sure why anyone really expects Intel to be on time. I've got high hopes for 13 and 14th gen, but again, I'm not expecting miracles here. I won't be shocked if Zen4 clean sweeps 13 and 14 until 15th.
 

Doug S

Platinum Member
Feb 8, 2020
2,260
3,512
136
@Doug S You're mistaken here. You're under the impression Intel can get those nodes running properly in the first place. You're talking about them claiming it'll be good as TSMC N2. Intel claims, as you said, are as good as the paper they're written on; worthless. I have no faith in Intel doing what they say they will. Gelsinger is a smart cookie, but he's a chatty Cathy as well. He talks a big game so far.

What am I mistaken on? Intel never had problems getting 10nm working, they had problems getting it working at acceptable yields under mass production. I have no doubt they can get 20A / 18A stuff working - there's no way they'd be talking about shipping in 2024 if they didn't ALREADY have them working, i.e. a few working chips from their dev fab. It is taking that step to mass production where I lack faith in them until they start proving otherwise.
 

A///

Diamond Member
Feb 24, 2017
4,352
3,154
136
What am I mistaken on? Intel never had problems getting 10nm working, they had problems getting it working at acceptable yields under mass production. I have no doubt they can get 20A / 18A stuff working - there's no way they'd be talking about shipping in 2024 if they didn't ALREADY have them working, i.e. a few working chips from their dev fab. It is taking that step to mass production where I lack faith in them until they start proving otherwise.
You weren't mistaken. It was tongue in cheek. Intel did initially have problems getting 10nm to work, then they began having those yield issues. 10nm was supposed to come out sooner than 2015-2016. It was discussed in length at the end of the 2000s. Canon Lake was a product that was delayed by a few years.

They can get it working but is it going to be any good? Will they have good yields? Intel bull'ing about 10nm wasn't their first rodeo in their wall of lies over the past few decades.
 

A///

Diamond Member
Feb 24, 2017
4,352
3,154
136
FWIW, original launch of 10nm in 2016 wasn't the intended launch date to begin with. 14nm problems pushed back the launch date of 10nm.
 

gdansk

Platinum Member
Feb 8, 2011
2,085
2,578
136
Past failure does not imply future failure. If they changed enough then some of it may work out.

To bet the company on extremely risky leading edge manufacturing is bold but hopefully Gelsinger is more informed than us.
 

jpiniero

Lifer
Oct 1, 2010
14,591
5,214
136
To bet the company on extremely risky leading edge manufacturing is bold

That's where the government subsidies come in. If 18A starts to look bad they can just increase their orders to TSMC before anyone else (read: AMD) finds out. Probably can't do both without the subsidies.
 

A///

Diamond Member
Feb 24, 2017
4,352
3,154
136
That's where the government subsidies come in. If 18A starts to look bad they can just increase their orders to TSMC before anyone else (read: AMD) finds out. Probably can't do both without the subsidies.
Issue would be securing those wafers, which shouldn't be a problem as I believe TSMC 5nm is coming online next year or in 2024? Gov will throw money at Intel just to get it going. Reps hardly give a damn other than it making them look good.

Intel's building an Ohio plant, too.
 

Doug S

Platinum Member
Feb 8, 2020
2,260
3,512
136
Past failure does not imply future failure. If they changed enough then some of it may work out.

To bet the company on extremely risky leading edge manufacturing is bold but hopefully Gelsinger is more informed than us.


Sure, but Apple would be stupid to bet their future success that Intel's past failure won't repeat.

Maybe they changed enough, maybe they didn't. Someone was lying somewhere at Intel before. Either the lying was knowingly from the top, or they were being lied to from below and believed the lies. If it was the former, then switching the guy at the top will fix that. If it was the latter, it doesn't fix it unless the people who were doing the lying have also been replaced.
 
  • Like
Reactions: Tlh97 and Thibsie

gdansk

Platinum Member
Feb 8, 2011
2,085
2,578
136
Sure, but Apple would be stupid to bet their future success that Intel's past failure won't repeat.
Yeah, there's no point in Apple putting any major designs on Intel 20a/18a. But for mere mortals who can't outbid all competitors to get what we want. We should really hope Intel can catch up or at least reduce the gap.

Or Samsung. I guess they're not out of it either.
 

Hougy

Member
Jan 13, 2021
77
60
61
I still don't understand how the US was able to forbid ASML from selling EUV equipment to China and TSMC from selling 7nm or better chips to Chinese companies. Could someone please explain me how this was possible? Why would these two follow the US' orders?
 

nicalandia

Diamond Member
Jan 10, 2019
3,330
5,281
136
I still don't understand how the US was able to forbid ASML from selling EUV equipment to China and TSMC from selling 7nm or better chips to Chinese companies. Could someone please explain me how this was possible? Why would these two follow the US' orders?
Are you really asking that? There are countries in South America, Bana Republics that can't sell their Bananas to Russia. If they do they will be Cut off from the established World Order from Which the USA and Co(NATO/UK/EU) Rule with an Iron Fist.


A single $1 Banana can't be sold to Russia without the USA Consent. Let alone a few Billion Dollars worth of State Of The Art Equipment that would bring technology parity to China.
 

mikk

Diamond Member
May 15, 2012
4,140
2,154
136

TSMC says N3 yield is good and they are sticking to the plan where they start the ramp at the end of the year. N3E is scheduled a year later but could get pulled in.

Alas they are saying 2025 for N2 ramp, maybe even 2H.


Even worse for N2, maybe at the end of 2025, means first 2nm products not before 2026. GAA era is a big opportunity for Intel.