Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 7 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
655
537
106
PPT1.jpg
PPT2.jpg
PPT3.jpg



As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake

INTEL-CORE-100-ULTRA-METEOR-LAKE-OFFCIAL-SLIDE-2.jpg

As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

Clockspeed.png
 

Attachments

  • PantherLake.png
    PantherLake.png
    283.5 KB · Views: 23,959
  • LNL.png
    LNL.png
    881.8 KB · Views: 25,428
Last edited:

jpiniero

Lifer
Oct 1, 2010
14,592
5,214
136
Some of those core count leaks regarding Raptor Lake don't make sense if you look at the core counts vs what is shipping for Alder Lake. They imply that Intel repurposed Alder Lake P (mobile) chips to fit socket 1700 and higher power, but didn't bother to use Raptor Lake cores, all based on assumptions around a stepping number. This could turn out to be completely wrong.

The 13th Gen Alder Lake i5 is still using the 8+8 die. There just won't be any models with 8 cores enabled. Same with the i3, it uses the 6+0 die but no 6 core models.
 

mikk

Diamond Member
May 15, 2012
4,140
2,154
136
They SAID that, or you INFERRED it from a slide?


This is what the slide says. The core count scalability goes from 2+8 to 6+8. You can be sure they would have added 8+16 or anything higher than 6+8 in case MTL scales above 6+8 regardless of mobile or desktop. And I think MTL-S is too late late anways, even with a bigger core count it's unlikely they can challenge Zen 5 in 2024 with MTL-S. They need a major Cove and Mont upgrade which we should get in Arrow Lake.
 

Joe NYC

Golden Member
Jun 26, 2021
1,946
2,286
106
Ah, but it's also external. Funny how much wiggle room those slides leave.

It does give a lot of wiggle room, but if there is to be an "Intel 18A" chiplet, CPU die would be the most likely.

Also, notable that there are no other Intel nodes mentioned for any other chiplets for Arrow Lake.

"External" then most likely means that all the other chiplets will stay with TSMC. But, of course, plans can change.

Eh? Server will put a ton of volume onto Intel 3. And I'm sure it'll also be popular for IO dies and the like.

The ramp of server chips on Intel 3 will not be instant, but when it reaches its full potential, it will be about ~3 million server CPUs per quarter for Intel, on optimistic side.

While these are much larger chips, the number of units is dwarfed by 75 million client units per quarter.

I don't think I/O dies would be the best application, because a much cheaper mode could be used there. But since it is supposed to be a more universal node, it could be used for monolithic chips with mixture of functionality.
 

shady28

Platinum Member
Apr 11, 2004
2,520
397
126
This is what the slide says. The core count scalability goes from 2+8 to 6+8. You can be sure they would have added 8+16 or anything higher than 6+8 in case MTL scales above 6+8 regardless of mobile or desktop. And I think MTL-S is too late late anways, even with a bigger core count it's unlikely they can challenge Zen 5 in 2024 with MTL-S. They need a major Cove and Mont upgrade which we should get in Arrow Lake.

Slide shows that but doesn't say that, there's a difference. Like I said, there could be more mundane reasons why they didn't try to illustrate a 8+16 die on that slide, like it not fitting on the slide. Or, maybe it is strictly addressing mobile/laptop. But there is supposed to be a desktop socket for Meteor Lake, so projecting that slide to desktop doesn't make a lot of sense.

What they decide to do on desktop MTL may be entirely a function of how quickly they can get 20A ready with Arrow Lake. It really doesn't make a lot of sense to introduce Meteor Lake laptop at the end of Q2 2023, only to turn around and introduce Arrow Lake 6 months later.

So, Intel *might* entirely skip desktop Meteor Lake. Depends on if 20A is ready, or not. I would imagine even Intel does not know yet.
 

shady28

Platinum Member
Apr 11, 2004
2,520
397
126
The 13th Gen Alder Lake i5 is still using the 8+8 die. There just won't be any models with 8 cores enabled. Same with the i3, it uses the 6+0 die but no 6 core models.

Do you know this for a fact?

I know the twitter leaks about '13600 and below are alder lake' you folks are referring to, and you're basically telling me that the 13600 (speculated to be 6+8) is going to be an 8+8 12900 die with 2 P cores cut. The only other alternative in that narrative is that it is a 12700H re-engineered for desktop and desktop sockets.

But there is another obvious alternative, that it isn't an Alder Lake die at all.

After all, they base the speculation regarding that being an ADL die on the stepping of Raptor Lake - where they assume it *must* be lower than the stepping for Alder Lake.

That's actually not true at all, it's entirely possible for an Alder Lake die to be stepping B0 and a completely different Raptor Lake die to be stepping C0. That simply means they had to make two major defect revisions on Raptor Lake, and only one on Alder Lake.

If the people who posted those charts about steppings had access to actual chips, why not post a cache analysis?

I mean think about that stepping thought process, try to apply it to Sapphire Rapids. What is that on, stepping H0? Using that thought process, one might conclude that Sapphire Rapids is really Skylake-X.
 

Thunder 57

Platinum Member
Aug 19, 2007
2,675
3,801
136
So you are looking at the far left image and assuming that means they can't scale up more.

As opposed to a more mundane reason like for example, putting 12 P-core and 32 e-core into the slide would make it unreadable.

Big critical thinking fail on your part dude.

It's all total speculation, no different than the speculation below :

View attachment 66714

You sound an awful lot like someone who maybe a couple years ago insisted that just because AMD put SMT2 on a slide didn't meant that they could also have have SMT4 parts. We all know how that turned out.
 
  • Like
Reactions: ftt

DrMrLordX

Lifer
Apr 27, 2000
21,631
10,843
136
Raichu claims Meteorlake is low to mid end and Arrowlake comes almost immediately after but focusing on the higher end.

Hmm. That would put Meteor Lake pretty late in the game, or Intel has somehow magically brought up 20a. Either that or the rumoured N3 Arrow Lake is actually going to happen.

They SAID that, or you INFERRED it from a slide?

That interpretation of the slide lines up pretty well with the leaks we've seen so far of Meteor Lake packages and mockups. To date Intel hasn't shown the press anything higher than 6+8. Don't get me wrong, I think they should show up with 12+16 if they can manage it, but it looks to me like they're not even gonna try.
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
Isn't Intel 3 planned to be their first big leading edge foundry node offering? Maybe they don't want in house to compete with foundry so they're skipping it?
I doubt IFS has any influence on Intel's use of Intel 3. I have no particular insight into the matter, but I doubt Intel 3 will see significant foundry interest. It's late compared to N5/N4, and will assuredly be more difficult to work with. 18A will probably be the first real opportunity for significant 3rd party volume at Intel.
MTL scales up to 6+8, that's it. Therefore it's limited to lowend-midrange on desktop if it comes.
@Exist50 also backs it up saying Meteorlake doesn't have a big desktop presence.
So to make one thing clear, there is no reason whatsoever that Intel can't make a > 6+8 Meteor Lake compute tile. The only question is whether it makes business sense for such a product to exist. On that matter, MTL is simply a poor fit to succeed Raptor Lake in the enthusiast desktop space, even with a matching core configuration, so I doubt such a product will exist.

That said, depending on how late Arrow Lake is trending, I could them throwing out a Rocket Lake-esque stopgap product, if only to have something on the new socket/chipset. Have heard that Lion Cove has been giving them some trouble.
"External" then most likely means that all the other chiplets will stay with TSMC. But, of course, plans can change.
Well we saw a leak a while back for an N3 compute die. Perhaps things have changed, but I wouldn't write it off entirely. Would also explain all the confusion around Intel's wafer allocation at TSMC.
The ramp of server chips on Intel 3 will not be instant, but when it reaches its full potential, it will be about ~3 million server CPUs per quarter for Intel, on optimistic side.

While these are much larger chips, the number of units is dwarfed by 75 million client units per quarter.
While there's a huge gap in the number of units, the GNR will probably take 10x the amount of compute die silicon per unit, so I don't think the gap would be nearly that large. Also, GNR will likely occupy a greater share of Intel's server volumes than MTL will the client.
I don't think I/O dies would be the best application, because a much cheaper mode could be used there. But since it is supposed to be a more universal node, it could be used for monolithic chips with mixture of functionality.
In a couple of years, TSMC 4 and Intel 3 (comparable) should definitely be suitable for IO dies. IO power does matter, and additional performance helps for particularly DDR scaling.
 
  • Like
Reactions: Joe NYC

eek2121

Platinum Member
Aug 2, 2005
2,930
4,026
136
I will be surprised if Intel doesn’t have a new enthusiast level chip in 2023. Are you saying this will be the case? IIRC Arrow Lake is a 2024 product.
 
  • Like
Reactions: shady28

cebri1

Member
Jun 13, 2019
126
133
116
I will be surprised if Intel doesn’t have a new enthusiast level chip in 2023. Are you saying this will be the case? IIRC Arrow Lake is a 2024 product.

MTL is 5-125W TDP, that's their flagship product for next year. AL is either Intel 3 or Intel 20. From what we know since the 10nm disaster new cores should be node agnostic. That's how they (badly) fitted Rocket Lake into 14nm. That core on 10nm would have been Intel's ADL moment.
 
  • Like
Reactions: ftt

shady28

Platinum Member
Apr 11, 2004
2,520
397
126
<snip>

In a couple of years, TSMC 4 and Intel 3 (comparable) should definitely be suitable for IO dies. IO power does matter, and additional performance helps for particularly DDR scaling.

TSMC N4 and Intel 4, much less Intel 3, are not comparable.

Intel 4 is basically a half node between what TSMC calls N5 and what it calls N3.

N4 is just a variation on N5. It's density is 1.06X N5, which is really just a library change.

People need to stop thinking these numbers mean anything.

"To that end, we estimate Intel 4 at 123.4 MTr/mm², 2.04x from 60.5 MTr/mm² in Intel 7. Our data for TSMC N5 is very much incomplete but our rough estimates based on known pitches put their HP library at 94.85 MTr/mm². Based on most of the recent publically available foundry data, Intel 4 HP cells appear denser than TSMC N5 HP and are likely closer to or better than TSMC N3 HP cells and denser than Samsung’s 3GAE. "




1661784544585.png

1661784697136.png

 
Last edited:

dullard

Elite Member
May 21, 2001
25,066
3,413
126
I will be surprised if Intel doesn’t have a new enthusiast level chip in 2023. Are you saying this will be the case? IIRC Arrow Lake is a 2024 product.
Intel could have an enthusiast Meteor Lake chip. But, they are certainly hiding that information if they are doing so. Yet, they are revealing an awful lot of other Meteor Lake details.

I think with the potential of three product launches in quite a short time frame (Raptor Lake, Meteor Lake, Arrow Lake), Intel might very well choose to skip some chips that we have become accustomed to seeing with each generation.
 

moinmoin

Diamond Member
Jun 1, 2017
4,952
7,661
136
I think with the potential of three product launches in quite a short time frame (Raptor Lake, Meteor Lake, Arrow Lake), Intel might very well choose to skip some chips that we have become accustomed to seeing with each generation.
Intel seems to have a habit of putting all generations on mobile, skip some on desktop (e.g. ICL, TGL...) and more on server (where gens often appear plenty later, if that). So in that regard it would be nothing new really.
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
I will be surprised if Intel doesn’t have a new enthusiast level chip in 2023. Are you saying this will be the case? IIRC Arrow Lake is a 2024 product.
That's exactly what I'm saying. Meteor Lake is not suitable as a flagship replacement for Raptor Lake. Even if they make a stopgap product, probably wouldn't come till way end of the year at best.
Course there is - the lack of capacity and the bad yields.
We have no evidence for either, at least in the Meteor Lake timeframe. But if those were a problem, they wouldn't offer MTL desktop at all. It's all be shunted into mobile.
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
TSMC N4 and Intel 4, much less Intel 3, are not comparable.

Intel 4 is basically a half node between what TSMC calls N5 and what it calls N3.

N4 is just a variation on N5. It's density is 1.06X N5, which is really just a library change.

People need to stop thinking these numbers mean anything.

"To that end, we estimate Intel 4 at 123.4 MTr/mm², 2.04x from 60.5 MTr/mm² in Intel 7. Our data for TSMC N5 is very much incomplete but our rough estimates based on known pitches put their HP library at 94.85 MTr/mm². Based on most of the recent publically available foundry data, Intel 4 HP cells appear denser than TSMC N5 HP and are likely closer to or better than TSMC N3 HP cells and denser than Samsung’s 3GAE. "




View attachment 66738

View attachment 66739

In the real world, no one cares about the cell height times the CPP of your high performance library. They care about PnP (particularly at low-mid voltage) and the routed area for a combination of high performance and high density logic and SRAM. And with those in the picture, Intel 3 will not be an N3 competitor. Intel's using N3 for a reason.
 

msj10

Member
Jun 9, 2020
63
75
61
That's exactly what I'm saying. Meteor Lake is not suitable as a flagship replacement for Raptor Lake. Even if they make a stopgap product, probably wouldn't come till way end of the year at best.
Why is that? do you think meteor lake on intel 4 won't be able to clock as good as RPL?

Intel says 20A will be manufacturing products in H1 2024 which means arrow lake probably won't be ready until Q3/Q4 2024. having 2 years without a desktop replacement for RPL is very unlikely.
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
Why is that? do you think meteor lake on intel 4 won't be able to clock as good as RPL?
I don't think there will be major clock speed regressions, but having said that, I did significantly underestimate how much they could squeeze out of Raptor Lake. If you look back in my comments a ways, I thought they'd only get like 200MHz over the 12900k. That makes me reassess my predictions, and also makes a larger wall for MTL to climb.

But even assuming frequencies are the same, the problem is Redwood Cove. I have zero reason to believe it's a significant IPC improvement over Raptor Cove. I've thrown out +5% as a low-confidence stake in the ground, but I'll be surprised if it's much better. That, coupled with presumably higher memory latency from the new chiplet architecture, would probably make it close enough to neutral compared to Raptor Lake in gaming. Feels like that would basically be a repeat of Rocket Lake, in many ways. Yuck.
Intel says 20A will be manufacturing products in H1 2024 which means arrow lake probably won't be ready until Q3/Q4 2024. having 2 years without a desktop replacement for RPL is very unlikely.
Well from that old Arrow Lake leak, we know they originally intended to have Lion Cove on N3 around the end of 2023. Clearly something about that has changed, so the question is whether they canceled the N3 parts altogether, or are dual sourcing between N3 and 20A. So what's the critical path? The new core archs, the process, or something else? I don't know, but judging from the chatter about Intel still pursuing plenty of N3 wafers, I'm inclined to believe N3 Arrow Lake still lives in some form.
having 2 years without a desktop replacement for RPL is very unlikely.
Unfortunately, that appears to be the case. But it's honestly baffling. I mean, look at AMD. Since Zen was released, they've been delivering about +10% IPC per year (annualized) while keeping power and area well in line. Intel hasn't done any of that. And try as I might, I cannot find a simple answer as to why.

But I do have my pet theories. I think dissolving the Oregon Core team during the BK era was the killing blow, as it were. The Israeli team missed their opportunity during the Skylake stagnation to make something new, and now they're shackled into incrementing on an ancient design with no real room to breathe. AMD has multiple teams (IIRC, 2-3?), which allows leapfrogging development. Intel's constantly being forced to sacrifice between the near and long term in a zero-sum game. That's a secondary reason why I'm so excited for Royal. Even if none of the big rumors pan out, at least Intel will get another CPU team out of it.

Hey, maybe I'm being overly harsh on RWC. If it actually improves a lot on power, well that will be good and necessary for the markets they're weakest in (server and mobile). But I think it's clear that they need to do more than just fix their fabs to get out of the current mess.
 

DrMrLordX

Lifer
Apr 27, 2000
21,631
10,843
136
We have no evidence for either, at least in the Meteor Lake timeframe.

We do have evidence that Intel 4 is troubled, in that it has categorically failed to achieve any major launch targets. Ponte Vecchio, for example. That's not a good look. As to whether or not the problems are fixable . . . that's debatable, but with Intel's recent track record I would say that it's going to be a slow and painful process to bring Intel 4 around. Definitely if they follow the same pattern as with 10nm.

But if those were a problem, they wouldn't offer MTL desktop at all. It's all be shunted into mobile.

Then what else can they offer in 2023?
 

jpiniero

Lifer
Oct 1, 2010
14,592
5,214
136
Well from that old Arrow Lake leak, we know they originally intended to have Lion Cove on N3 around the end of 2023. Clearly something about that has changed, so the question is whether they canceled the N3 parts altogether, or are dual sourcing between N3 and 20A. So what's the critical path? The new core archs, the process, or something else? I don't know, but judging from the chatter about Intel still pursuing plenty of N3 wafers, I'm inclined to believe N3 Arrow Lake still lives in some form.

I suppose you shouldn't rule out that Meteor Lake will be the 14th Gen 2+8 mobile, and Arrow Lake at TSMC would handle the rest. The 20A part being a complete fabrication, because that's what Intel does.
 
  • Like
Reactions: ftt