- Dec 26, 2004
- 11,905
- 148
- 101
I'm having a problem with Altera's Quartus II software. I have all my code written out for a circuit, but when I go to compile it, it gives me "Error: Top-level design entitiy "Project2" is undefined. It tells me to go to general settings and set the top-level design to Project2, but it already is set as that. If it helps any, here is my code:
library ieee;
use ieee.std_logic_1164.all;
entity Project2_VHDL is
port (
A : in std_logic;
B : in std_logic;
C : in std_logic;
D : in std_logic;
Y : out std_logic
);
end Project2_VHDL;
architecture dataflow of Project2_VHDL is
signal wire1: std_logic;
signal wire2: std_logic;
signal wire3: std_logic;
signal wire4: std_logic;
signal wire5: std_logic;
signal wire6: std_logic;
begin
-- Y <= (not C and not D) or (not A and B); This line is commented out
wire1 <= not A;
wire2 <= B;
wire3 <= not C;
wire4 <= not D;
wire5 <= wire1 and wire2;
wire6 <= wire3 and wire4;
Y <= wire5 or wire6;
end dataflow;
Does anyone have ANY idea what is wrong here?
library ieee;
use ieee.std_logic_1164.all;
entity Project2_VHDL is
port (
A : in std_logic;
B : in std_logic;
C : in std_logic;
D : in std_logic;
Y : out std_logic
);
end Project2_VHDL;
architecture dataflow of Project2_VHDL is
signal wire1: std_logic;
signal wire2: std_logic;
signal wire3: std_logic;
signal wire4: std_logic;
signal wire5: std_logic;
signal wire6: std_logic;
begin
-- Y <= (not C and not D) or (not A and B); This line is commented out
wire1 <= not A;
wire2 <= B;
wire3 <= not C;
wire4 <= not D;
wire5 <= wire1 and wire2;
wire6 <= wire3 and wire4;
Y <= wire5 or wire6;
end dataflow;
Does anyone have ANY idea what is wrong here?